TWI700731B - 半導體裝置之製造方法、基板處理裝置及真空處理裝置 - Google Patents

半導體裝置之製造方法、基板處理裝置及真空處理裝置 Download PDF

Info

Publication number
TWI700731B
TWI700731B TW107107513A TW107107513A TWI700731B TW I700731 B TWI700731 B TW I700731B TW 107107513 A TW107107513 A TW 107107513A TW 107107513 A TW107107513 A TW 107107513A TW I700731 B TWI700731 B TW I700731B
Authority
TW
Taiwan
Prior art keywords
film
substrate
mask
wafer
ion implantation
Prior art date
Application number
TW107107513A
Other languages
English (en)
Other versions
TW201843704A (zh
Inventor
山口達也
新納禮二
橋本浩幸
野澤秀二
藤川誠
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201843704A publication Critical patent/TW201843704A/zh
Application granted granted Critical
Publication of TWI700731B publication Critical patent/TWI700731B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0405Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising semiconducting carbon, e.g. diamond, diamond-like carbon
    • H01L21/041Making n- or p-doped regions
    • H01L21/0415Making n- or p-doped regions using ion implantation
    • H01L21/203
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation
    • H01J2237/31706Ion implantation characterised by the area treated
    • H01J2237/3171Ion implantation characterised by the area treated patterned
    • H01J2237/31711Ion implantation characterised by the area treated patterned using mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

使用遮罩對基板進行離子注入,在離子注入後除去遮罩時,防止對基板之損壞。 半導體裝置的製造方法係進行如下的步驟,第1遮罩用膜形成步驟,係向基板(W)之表面供給聚合用之原料,並形成由具有脲鍵之聚合物所構成的第1遮罩用膜(21);第2遮罩用無機膜形成步驟,係以被疊層於第1遮罩用膜(21)上的方式形成第2遮罩用無機膜(22);離子注入步驟,係在第1遮罩用膜(21)及第2遮罩用無機膜(22)形成圖案,並對基板(W)之表面進行離子注入;第2遮罩用無機膜除去步驟,係在進行離子注入後除去第2遮罩用無機膜(22);以及第1遮罩用膜除去步驟,係在進行離子注入後對基板(W)加熱,而對該聚合物進行解聚合,除去第1遮罩用膜(21)。

Description

半導體裝置之製造方法、基板處理裝置及真空處理裝置
本發明係有關於一種在用以製造半導體裝置之基板形成遮罩,並進行離子注入的技術。
在半導體裝置之製程,對於基板亦即半導體晶圓(以下記載成晶圓)進行離子注入。此離子注入係例如在已形成於藉抗蝕劑膜之遮罩的狀態進行,在離子注入後遮罩係被除去。在專利文獻1,記載用以進行離子注入之遮罩、與該遮罩之除去方法。 [先行專利文獻] [專利文獻]
[專利文獻1]日本特開2016-51094號公報
[發明所欲解決之課題]
如亦在發明之實施形態的說明所示,藉上述之離子注入,有機膜亦即抗蝕劑膜的表面係成為硬度比較高的碳化層。由於半導體裝置之配線的微細化,抗蝕劑膜係有以具有凹凸之方式所形成的情況,在此情況,不僅在抗蝕劑膜的上面,而且在側面亦形成上述的碳化層。即,在晶圓具有形成碳化層之量變多的傾向。為了在除去抗蝕劑膜時亦除去此碳化層,想到進行供給電漿化之氧氣的處理(灰化)、供給高溫之洗淨液或極性比較高之藥液的處理、以及供給臭氧的處理等,但是都擔心對未被抗蝕劑膜覆蓋之已進行離子注入的區域造成損壞。又,擔心因進行這些處理而碳化層破裂,而產生粒子。因為如上述所示具有形成碳化層之量變多的傾向,所以擔心此粒子之影響變大。
因此,要求以不形成此碳化層之方式進行離子注入的技術。在上述之專利文獻1,記載以進行離子注入所需的遮罩形成含矽膜,在離子注入後係將晶圓浸漬於該遮罩之剝離液來除去。可是,為了依此方式進行處理,從進行離子注入之真空環境向用以進行液處理之常壓環境搬運基板,這作業係步驟數增加,而費用變貴,效率差。
本發明係在這種情況下所開發者,其目的在於提供一種使用遮罩對基板進行離子注入,在離子注入後除去該遮罩時,防止對基板之損壞的技術。 [解決課題之手段]
本發明之半導體裝置的製造方法,係對基板進行處理,而製造半導體裝置的方法,其特徵為包含: 第1遮罩用膜形成步驟,係向該基板之表面供給聚合用之原料,並形成由具有脲鍵之聚合物所構成的第1遮罩用膜; 第2遮罩用無機膜形成步驟,係以被疊層於該第1遮罩用膜上的方式形成第2遮罩用無機膜; 離子注入步驟,係在該第1遮罩用膜及該第2遮罩用無機膜形成圖案,並對該基板之表面進行離子注入; 第2遮罩用無機膜除去步驟,係在該離子注入後除去該第2遮罩用無機膜;以及 第1遮罩用膜除去步驟,係在該離子注入後對基板加熱,而對該聚合物進行解聚合,除去該第1遮罩用膜。
本發明之基板處理裝置係特徵為: 包括:第1成膜部,係在該基板之表面形成由具有脲鍵之聚合物所構成的第1遮罩用膜; 第2成膜部,係以被疊層於該第1遮罩用膜上的方式形成第2遮罩用無機膜; 第3成膜部,係以被疊層於該第2遮罩用無機膜上的方式形成抗蝕劑膜; 加熱處理部,係對該抗蝕劑膜被曝光後的該基板進行加熱處理; 顯像處理部,係用以對該已被加熱處理之該基板進行顯像;以及 搬運機構,係用以進行處理基板的各部之間的搬運; 該第1成膜部係包含:載置台,係載置該基板;及原料排出部,係向在該載置台所載置之該基板供給聚合用之液體。
本發明之真空處理裝置係特徵為包含: 離子注入模組,係對基板在真空環境注入離子,而該基板係形成由具有脲鍵之聚合物所構成的第1遮罩用膜、與在該第1遮罩用膜上所疊層之第2遮罩用無機膜,並在該第1遮罩用膜及該第2遮罩用無機膜分別形成遮罩圖案; 蝕刻處理模組,係向該基板供給用以在該離子注入後在真空環境除去該第2遮罩用膜的蝕刻氣體;以及 除去模組,係在該離子注入後在真空環境對該基板加熱,對該聚合物進行解聚合,而除去該第1遮罩用膜。 [發明之效果]
若依據本發明,在該基板之表面形成由具有脲鍵之聚合物所構成的第1遮罩用膜、與在該第1遮罩用膜上所疊層之第2遮罩用無機膜,經由在這些遮罩用膜所形成的圖案,對基板之表面進行離子注入。藉無機膜可防止在離子注入時在第1遮罩膜形成碳化層,第1遮罩膜係可藉加熱來除去。因此,因為不必使基板曝露於苛刻的環境,所以在除去各遮罩時可防止基板受到損壞。
說明將本發明之半導體裝置的製造方法應用於製造半導體裝置亦即MOSFET(metal-oxide-semiconductor field-effect transistor)之一的Fin-FET之製程的實施形態。第1圖係表示在基板亦即晶圓W的表面所形成之p-MOS的形成區域11與n-MOS的形成區域12。這些形成區域11、12係各自包括:矽(Si)層13;Si層14,係從Si層13向上方突出且在橫向延伸的散熱片;以及矩形的閘極15,係覆蓋Si層14之長度方向的中央部且以與Si層14之延伸方向係正交的方式在Si層13上在橫向延伸。
以下所說明之製程係更具體而言,在n-MOS之形成區域12的Si層14被遮蔽之狀態,將作為p型雜質之B(硼)離子注入p-MOS之形成區域11的Si層14之製程。使用第2圖(a)~第5圖(j),說明從上述之遮罩的形成至該遮罩的除去。此第2圖(a)~第5圖(j)係表示形成區域11、12之Si層14的縱向剖開側面。如第1圖所示,形成區域11、12之各Si層14係被形成為在橫向彼此分開,但是為了簡化圖示,在第2圖(a)~第5圖(j),表示成該各Si層14左右地鄰接,各圖之左右之比中心左側表示p-MOS之形成區域11的Si層14,左右之比中心右側表示n-MOS的形成區域12的Si層14。
首先,以被疊層於Si層14上的方式形成第1遮罩用膜亦即聚脲膜21(第2圖(a)~第2圖(b))。關於此聚脲膜21,如第6圖中作為往右側所進行之化學反應式的一例所示,藉由使原料單體亦即異氰酸脂與胺共聚合成形成脲鍵而可形成。在第6圖,作為異氰酸脂的例子,表示二異氰酸脂,作為胺的例子,表示二胺。此外,第6圖中之R係例如烷基(直鏈烷基或環狀芳基)或芳基,n是2以上之整數。又,在第2圖(b)中以H1所示之聚脲膜21的膜厚係例如500nm~1000nm。
作為原料單體的胺,可使用例如脂環化合物或脂肪族化合物,作為該脂環化合物,列舉例如1,3-雙(氨基甲基)環己烷(H6XDA),作為該脂肪族化合物,列舉例如1,12-氨基十二烷(DAD)。作為原料單體的異氰酸脂,可使用例如脂環化合物、脂肪族化合物、芳香族化合物等,作為該脂環化合物,列舉例如1,3-雙(異氰酸甲酯基)環己烷(H6XDI),作為該脂肪族化合物,列舉例如六亞甲基二異氰酸脂。
在形成聚脲膜21後,以被疊層於該聚脲膜21上之方式形成第2遮罩用膜亦即無機膜22(第2圖(c))。無機膜22係例如主成分包含Si的膜,更具體而言,例如由SiOC(摻碳氧化矽)膜、SiN(氮化矽)膜、SiO2 (氧化矽)膜等所構成。此無機膜22係除了與聚脲膜21一起成為注入離子時之遮罩以外,亦具有作為防止反射膜的功用。關於無機膜22,例如亦可藉CVD(Chemical Vapor Deposition)形成,亦可如後述所示,藉由向晶圓W供給藥液來形成。圖中以H2所示之無機膜22的膜厚係比上述之聚脲膜21的膜厚薄,例如10nm~50nm。
接著,以被疊層於無機膜22上之形成抗蝕劑膜23(第3圖(d))。然後,藉由對抗蝕劑膜23進行曝光、顯像,將開口部24形成於該抗蝕劑膜23中與p-MOS之形成區域11對應的部位。即,形成抗蝕劑圖案(遮罩圖案)(第3圖(e))。然後,將此抗蝕劑膜23作為遮罩,對無機膜22進行蝕刻(第3圖(f)),而在無機膜22形成構成遮罩圖案的開口部25。此蝕刻係例如藉由對晶圓W供給蝕刻氣體所進行。例如,在如上述所示無機膜22是SiOC或SiN的情況,作為蝕刻氣體,可使用CF4 (四氟化碳)等之CF系的氣體。
進而,將無機膜22作為遮罩,對聚脲膜21進行蝕刻,而在該聚脲膜21形成構成遮罩圖案的開口部26,且除去抗蝕劑膜23(第4圖(g))。此蝕刻係例如作為蝕刻氣體,使用O2 (氧)氣體,藉由對O2 氣體進行電漿化所進行。接著,將無機膜22及聚脲膜21作為遮罩,向在p-MOS之形成區域11之Si層14的表面注入B(硼)離子,藉此,形成離子注入區域27(第4圖(h))。然後,對無機膜22進行蝕刻而除去(第4圖(i))。此蝕刻係例如藉由對晶圓W供給蝕刻氣體所進行。在如上述所示無機膜22是SiOC或SiN的情況,作為蝕刻氣體,可使用CF系的氣體。
然後,藉由加熱,除去聚脲膜21(第5圖(j)),具體地說明之,聚脲係加熱至例如350℃之300℃以上時,聚脲中的脲鍵被切斷,而被解聚合成原料單體亦即胺與異氰酸脂。因此,在第6圖中,就進行往左側之化學反應。解聚合之胺與異氰酸脂係從晶圓W擴散而被除去。
為了作成對己形成於晶圓W之元件部分無不良影響,用以除去此聚脲膜21之加熱係在例如450℃以下進行較佳。加熱環境係被設定成N2 (氮)氣等之惰性氣體環境。此外,因為依此方式藉熱對聚脲膜21進行解聚合,在形成聚脲膜21後進行此解聚合之前的各製程係在聚脲膜21不會因該解聚合而消失之例如200℃以下的溫度所進行。
為了說明在以上之第2圖(a)~第5圖(j)所述之發明的實施例之半導體裝置之製造方法的效果,使用第7圖(a)~第7圖(b),說明比較例之半導體裝置的製造方法。在此比較例,相對發明的實施例之半導體裝置的製造方法,在n-MOS的形成區域12之Si層14上所形成之遮罩的材質相異,作為該遮罩,係從下側將無機膜22、抗蝕劑膜23按照此順序疊層所構成(第7圖(a))。在注入離子而形成離子注入區域27時,在有機膜亦即抗蝕劑膜23的表面係氫與碳的鍵結被切斷,而除去該氫等,藉此,形成在發明欲解決之課題的項目亦已說明的碳化層28(第7圖(b))。而且,為了與此抗蝕劑膜23同時除去碳化層28,而進行灰化等之對晶圓W供給比較大之能量的處理時,未被遮蔽之離子注入區域27係受到損壞。又,因那種處理,而擔心此碳化層28破裂而變成粒子。
另一方面,若依據在上述的第2圖(a)~第5圖(j)所說明之發明的實施例之半導體裝置的製造方法,將聚脲膜21與在聚脲膜21上所疊層之無機膜22作為遮罩,對Si層14注入離子。若未形成無機膜22而僅藉聚脲膜21構成遮罩時,因為聚脲膜21係有機膜,所以與抗蝕劑膜一樣地因離子注入而形成上述之碳化層,但是如上述所示藉由在注入離子時將無機膜22設置於聚脲膜21上,防止形成碳化層28。而且,在除去聚脲膜21時,可藉晶圓W之加熱進行。因此,因為不必將晶圓W曝靈於如除去碳化層28之苛刻的環境(大能量所作用的環境),所以可抑制對離子注入區域27之損壞,而抑制對從晶圓W所形成之半導體裝置亦即Fin-FET的損壞,可提高該Fin-FET的可靠性。又,亦可防止上述之碳化層28的破裂所造成之粒子的污染。此外,在依此方式對p-MOS之形成區域11的Si層14注入離子時,因為該p-MOS之形成區域11的閘極15亦露出,所以該閘極15係會受到離子注入所造成之損壞,但是此閘極15係暫時的構造體,以後被除去,而形成正式的閘極。
而,無機膜22係因為比有機膜硬而難除去。即,對無機膜22,膜厚變厚時除去所需的時間變長,因為生產力降低,所以膜厚係薄較佳。可是,在Si層14上,將像那樣膜厚比較薄的無機膜22直接疊層時,離子透過無機膜22,而在n-MOS的形成區域12亦被注入離子。因此,將聚脲膜21形成於無機膜22的下層,而藉無機膜22與聚脲膜21構成遮罩,藉此,確保為了防止對n-MOS的形成區域12之離子注入所需之遮罩的膜厚。此外,為了依此方式確實地確保作為遮罩之功用,且確實地防止生產力的降低,如上述所示分別設定聚脲膜21的膜厚、無機膜22的膜厚。又,聚脲係包含胺基,因為在構成一個聚合物的胺基與構成其他的聚合物的胺基之間形成氫鍵結,所以具有比較高的耐藥性及約200℃之實用上充分的耐熱性,即,聚脲膜21係具備適合作為遮罩的性質。
而,第8圖(a)、(b)係表示第6圖所示以外之原料單體的例子。作為以遮罩形成於晶圓W的脲膜係不限定為如上述之聚脲膜21所示的高分子化合物,亦可是低聚合物,亦可如第8圖(a)所示形成該低聚合物,將胺、異氰酸脂用作原料單體,形成具有脲鍵的脲膜。進而,如第8圖(b)所示,亦可將異氰酸脂與二級胺用作原料單體,在此情況所產生之共聚合物所含的鍵結亦是脲鍵。又,此第8圖(a)、(b)、第6圖所示之官能基R的分子量係為了如上述所示藉加熱使已解聚合之原料單體氣化而從晶圓W除去,設定成200以下較佳。
接著,一面參照第9圖~第11圖,一面說明用以進行從在第2圖(a)~第3圖(e)所說明之聚脲膜21的形成至抗蝕劑圖案旳形成之一連串的處理之作為基板處理裝置的塗佈顯像裝置3。第9圖、第10圖、第11圖係分別為塗佈顯像裝置3的平面圖、立體圖、示意縱向剖開側視圖。在此塗佈顯像裝置3之各處係在常壓環境所進行。
塗佈顯像裝置3係在橫向成直線狀地連接載具組件D1、處理組件D2以及介面組件D3所構成。在介面組件D3,連接曝光裝置D4。在以後的說明,將組件D1~D3的排列方向當作前後方向。載具組件D1係包括:載具C的載置台31;開閉部32,係被設置於在載置台31所載置之載具C的正面,並與載具C之蓋一起被開閉;以及搬運機構33,係經由開閉部32,在載具C內與載具組件D1內之間搬運晶圓W。
處理組件D2係從下依序將對晶圓W進行液處理的6個單位組件E疊層而成。作為此6個單位組件E,將E1~E3之3種設置各2層,對相同的單位組件係一樣地構成,進行彼此相同的處理。又,在各單位組件E,彼此獨立地進行晶圓W之搬運及處理。
說明第9圖所示之單位組件E1。設置從載具組件D1往介面組件D3之晶圓W的搬運區域34,在搬運區域34之左右的一側沿著前後方向設置複數個作為加熱處理部的加熱模組35。在搬運區域34之左右的另一側沿著前後方向設置作為第1成膜部的聚脲膜形成模組5、與用以形成無機膜22之作為第2成膜部的無機膜形成模組36。聚脲膜形成模組5係藉由將藥液塗佈於晶圓W之表面,而形成上述之聚脲膜21的模組,關於其構成係在後面詳細地說明。無機膜形成模組36係將用以形成上述之無機膜22的藥液塗佈於晶圓W之表面的模組。又,在搬運區域34,設置作為晶圓W之搬運機構的搬運臂F1。
單位組件E2係除了替代聚脲膜形成模組5及無機膜形成模組36,而具備2個作為第3成膜部的抗蝕劑膜形成模組37以外,與單位組件E1一樣地構成。抗蝕劑膜形成模組37係用以將作為藥液的抗蝕劑塗佈於晶圓W之表面,而形成抗蝕劑膜23的模組。
單位組件E3係除了替代聚脲膜形成模組5及無機膜形成模組36,而具備2個顯像模組38以外,與單位組件E1一樣地構成。顯像模組38係對晶圓W之表面供給作為藥液的顯像液,對抗蝕劑膜23進行顯像,而形成抗蝕劑圖案。又,將相當於單位組件E1的搬運臂F1,並設置於單位組件E2、E3的搬運臂分別當作F2、F3。此外,在單位組件E2所設置之加熱模組35係構成為對被塗佈抗蝕劑的晶圓W進行加熱處理之曝光前的加熱處理部,在單位組件E3所設置之加熱模組35係構成為對曝光後的晶圓W進行加熱之曝光後的加熱處理部。
在處理組件D2中之載具組件D1側,設置:塔T1,係跨6個單位組件E並上下地延長;及交接臂39,係用以對塔T1進行晶圓W的交接之升降自如的搬運機構。塔T1係具備彼此被疊層的複數個交接模組TRS,在單位組件E1~E3之各高度所設置的交接模組係可在與該單位組件E1~E3的各搬運臂F1~F3之間交接晶圓W。
在介面組件D3,設置跨6個單位組件E並上下地延長的塔T2、T3、T4。又,設置:介面臂41,係用以對塔T2與塔T3交接晶圓W之升降自如的搬運機構;介面臂42,係用以對塔T2與塔T4交接晶圓W之升降自如的搬運機構;以及介面臂43,係用以在塔T2與曝光裝置D4之間交接晶圓W的搬運機構。
塔T2係將交接模組TRS、儲存曝光處理前之複數片晶圓W並使其滯留的緩衝器模組、儲存曝光處理後之複數片晶圓W的緩衝器模組、以及進行晶圓W之溫度調整的調溫模組等彼此疊層而成,此處,緩衝器模組及調溫模組之圖示係省略。此外,在塔T3、T4亦各自設置搬運晶圓W的模組,此處,係省略說明。
參照第12圖,說明上述之聚脲膜形成模組5。第12圖中,51係真空夾頭,其為吸附並固持晶圓W,且藉由轉動機構52轉動晶圓W之載置台。53係杯模組,54係將向下方延長之外周壁及內周壁形成筒狀的導引構件。55係為了可在全周進行排氣、排液而在外杯56與該外周壁之間所形成的排出空間,排出空間55的下方側係成為可氣液分離之構造。第12圖中,57係例如藉由從下方側對晶圓W照射光來加熱的LED(發光二極體),在如後述所示對晶圓W供給藥液時,為了進行聚合,而對該晶圓W加熱。
分別從供給源58A朝向藥液噴嘴59供給在第6圖所說明之二胺的溶液(當作第1藥液),從供給源58B朝向藥液噴嘴59供給在第6圖所說明之二異氰酸脂的溶液(當作第2藥液),這些溶液係在即將被供給至藥液噴嘴59之前匯流,構成混合溶液。即,第1藥液與第2藥液係在即將被供給至藥液噴嘴59之前被混合。而且,藥液噴嘴59係向鉛垂下方排出該混合溶液。作為原料排出部之藥液噴嘴59係與未圖示之驅動機構連接,並構成為在晶圓W的中心部與外杯56的外側之間移動自如。
說明在聚脲膜形成模組5之晶圓W的處理。首先,與從構成原料排出部的藥液噴嘴59向晶圓W的中心部供給上述之混合溶液同時以既定轉速使晶圓W轉動,而該混合溶液在晶圓W之表面被伸展。即,第1藥液、第2藥液各自被旋轉塗佈於晶圓W。然後,在晶圓W之表面對混合溶液加熱,而除去溶液中的溶劑,形成聚脲膜21。
此外,亦可對晶圓W係先供給第1藥液及第2藥液中之一方的藥液,然後,供給另一方的藥液,藉此,進行成膜。在此情況,亦可係設置和供給源58A連接之二胺用的藥液噴嘴59、與和供給源58B連接之二異氰酸脂用的藥液噴嘴59,而從這些藥液噴嘴59分別向晶圓W排出藥液,亦可如第12圖所示,從共同的噴嘴59向供給源58A、58B依序供給第1藥液、第2藥液。在此情況,先供給第1藥液及第2藥液中之任一方都可。
作為依此方式向晶圓W供給藥液的方法,有在即將向晶圓W供給之前將各種藥液混合後向晶圓W供給的方式、與向晶圓W依序供給各種藥液的方式。此外,在依序供給,除了逐次供給各藥液的情況以外,亦包含交互地重複供給各藥液。而,亦可上述之各藥液噴嘴59係構成為使從供給源58A、58B所供給之藥液以霧向晶圓W排出。關於依此方式向晶圓W供給霧的情況,亦亦可在即將向晶圓W供給之前將藥液混合並從藥液噴嘴59以霧排出該混合液,亦可依序向藥液噴嘴59供給各藥液並以霧排出。依此方式,在向基板供給聚合用之藥液的動作,包含形成液流並向基板供給該液體、及在霧之狀態供給該液體。此外,在向晶圓W供給霧時,亦可晶圓W係被設定成未轉動而停止之狀態。此外,上述之無機膜形成模組36、抗蝕劑膜形成模組37以及顯像模組38係除了向晶圓W所供給之藥液的種類相異及未設置LED57以外,與聚脲膜形成模組5一樣地構成。
在晶圓W之正前將第1藥液及第2藥液混合,這是為了在向晶圓W供給之前防止在藥液之流路中形成聚脲。更詳細地說明上述之聚脲膜形成模組5的構成,供給源58A、供給源58B係各自經由流路501、流路502與藥液噴嘴59連接。供給源58A、供給源58B係具備各自儲存第1藥液、第2藥液的槽,在流路501、502,分別插設向藥液噴嘴59壓送像那樣儲存於供給源58A、58B之各藥液的泵503、504。流路501、502係例如在泵503、504之下游側彼此匯流而構成匯流路,該匯流路的下游端與藥液噴嘴59連接,藉這種構成,如上述所示,可在即將將第1藥液及第2藥液供給至藥液噴嘴59之前使其混合而構成混合溶液,在第12圖所示之例子,在藥液噴嘴59的上游側流路501、502匯流,但是亦可作成在藥液噴嘴59各流路501、502之端部匯流的構成。在此情況,藥液噴嘴59內之流路構成流路501、502之下游側的匯流路。
更詳細地說明流路501、502所匯流之位置,為了防止在第1藥液與第2藥液的混合溶液中,因在被供給至晶圓W之前進行聚合反應而在晶圓W之面內之膜厚的均勻性變低,流路501、502彼此所匯流之位置係位於晶圓W的附近較佳。即,目的在於防止從第1藥液與第2藥液被混合後至被供給至晶圓W因經過比較長的時間而進行聚合反應,且在各藥液所匯流之位置,防止接受來自被加熱之晶圓W的熱而進行聚合反應,設定那種匯流位置。
具體而言,例如,作成如上述所示在藥液噴嘴59內流路501、502彼此匯流,而形成往下方之藥液噴嘴59的排出口延長之匯流路的構成。即,作成在藥液噴嘴59內將第1藥液與第2藥液混合的構成。而且,以在此藥液噴嘴59內之匯流路的上游端位於晶圓W之表面的附近的方式,例如與晶圓W之表面向上方相距例如數cm的方式,與晶圓W分開地配置於該藥液噴嘴59,排出各藥液的混合液較佳。因為像這樣將匯流路的上游端配置於晶圓W的附近,所以抑制從晶圓W所接受之熱的影響,藉由此匯流路之上游端的溫度係被設定成比晶圓W的溫度更低,例如設置聚脲膜形成模組5之室內的溫度(例如23℃)~120℃,而在該匯流路的上游端抑制聚合反應的進行。因為如上述所示匯流路的上游端係被設置於藥液噴嘴59,所以以藥液噴嘴59的溫度變成比晶圓W之溫度更低的方式配置該藥液噴嘴59,而控制溫度。
在第9圖中,30係在塗佈顯像裝置3所設置之控制部,此控制部30係包括程式、記憶體以及CPU。此程式係被儲存於例如光碟、硬碟、光磁碟等之電腦記憶媒體,並被安裝於控制部30。控制部30係根據該程式,向塗佈顯像裝置3之各部輸出控制信號,而控制各部的動作。具體而言,此程式係控制在塗佈顯像裝置3之藉各搬運機構之在模組間之晶圓W的搬運及在各模組之用以處理晶圓W的動作,以對晶圓W實施在上述之第2圖(a)~第3圖(e)所說明之一連串之處理的方式組成步驟群。
說明在由此塗佈顯像裝置3及曝光裝置D4所構成的系統之晶圓W的搬運路徑。如在第1圖、第2圖(a)之說明所示,將收容包括p-MOS之形成區域11與n-MOS之形成區域12之晶圓W的載具C載置於載具組件D1的載置台31,再藉搬運機構33搬運至在處理組件D2之塔T1的交接模組TRS0。晶圓W係藉交接臂39從此交接模組TRS搬運至對應於單位組件E1的交接模組TRS1(藉搬運臂F1可交接晶圓W的交接模組)。
然後,晶圓W係藉搬運臂F1,從交接模組TRS1搬運至聚脲膜形成模組5,如第2圖(b)所示形成聚脲膜21後,被搬運至無機膜形成模組36,被塗佈藥液。接著,晶圓W係被搬運至加熱模組35並被加熱,而藥液中之溶劑蒸發,如第2圖(c)所示形成無機膜22。接著,晶圓W係被搬運至交接模組TRS1,再藉交接臂39搬運至對應於單位組件E2之交接模組TRS2。
接著,晶圓W係藉搬運臂F2,從交接模組TRS2搬運至抗蝕劑膜形成模組37,被塗佈抗蝕劑後,被搬運至加熱模組35,抗蝕劑中之溶劑蒸發,如第3圖(d)所示形成抗蝕劑膜23。然後,晶圓W係被搬運至塔T2的交接模組TRS21,藉介面臂41、42,經由塔T3向曝光裝置D4搬入,按照既定圖案對抗蝕劑膜23進行曝光。曝光後之晶圓W係藉介面臂41、43在塔T2、T4之間搬運,而被搬運至對應於單位組件E3之塔T2的交接模組TRS31。
然後,藉搬運臂F3,晶圓W係被搬運至加熱模組35,接受後曝光後烘烤後,被搬運至顯像模組38,被供給顯像液,如第3圖(e)所示形成抗蝕劑圖案。然後,晶圓W係被搬運至塔T1之對應於單位組件E3的交接模組TRS3,再藉搬運機構33回到載具C。
接著,一面參照第13圖之平面圖,一面說明進行在第3圖(f)~第5圖(j)所說明之從對無機膜22之圖案的形成至聚脲膜21的除去之處理的真空處理裝置6。真空處理裝置6係具備藉例如乾燥之N2 氣將其內部環境設定成常壓環境之橫向長的常壓搬運室61,在常壓搬運室61的前面,在左右方向排列地設置用以載置載具C的搬出入埠62。在常壓搬運室61的正面壁,安裝與該載具C之蓋一起開閉的門63。在常壓搬運室61內,設置由用以搬運晶圓W之關節臂所構成的第1搬運機構64。進而,從常壓搬運室61之搬出入埠62側觀察時,在左側壁,設置調整晶圓W之方向或偏心的對準室65。
在常壓搬運室61中之搬出入埠62的相反側,以在左右排列的方式配置例如2個真空預備室(load lock chamber)66A、66B。在真空預備室66A、66B與常壓搬運室61之間,設置閘閥67。從真空預備室66A、66B之常壓搬運室61側觀察時,在內側,經由閘閥69配置真空搬運室68。
在真空搬運室68,經由閘閥6A,連接蝕刻處理模組7、蝕刻處理模組70、摻雜模組(離子注入模組)8以及解聚合模組79。蝕刻處理模組7、蝕刻處理模組70係分別進行離子注入前之蝕刻處理、離子注入後之蝕刻處理的模組。在真空搬運室68,設置具備由多關節臂所構成之2支搬運臂的第2搬運機構6B,藉第2搬運機構6B,在與真空預備室66A、66B及真空搬運室68連接的模組間交接晶圓W。
接著,一面參照是縱向剖開側視圖之第14圖,一面說明蝕刻處理模組7。此蝕刻處理模組7係構成為形成電容耦合電漿,並可進行上述之蝕刻處理。第14圖中,71係被接地的處理容器,並經由在第13圖所說明之閘閥6A與真空搬運室68連接。處理容器71內係藉由藉排氣機構72對內部進行排氣,設定成所要之壓力的真空環境。
第14圖中,73係載置晶圓W之載置台73,並被埋設用以對晶圓W加熱之未圖示的加熱器。載置台73係在處理容器71之底面上被配置成以電性連接,發揮作為下部電極的功用,並作用為陽極。又,載置台73係為了可在與第2搬運機構6B之間交接晶圓W,具備在載置台73之表面突出沒入並支撐晶圓W之背面的升降銷,圖示係省略。此外,在說明與真空搬運室68連接之其他的模組時,亦該升降銷之圖示係省略。
在載置台73的上方,以與此載置台73之上面相對向的方式設置蓮蓬頭74。第14圖中,75係絕緣構件,對半導體裝置74與處理容器71進行絕緣。在蓮蓬頭74,連接電漿產生用之高頻電源76,蓮蓬頭74係作用為陰極。第14圖中,77係氣體供給部。向在蓮蓬頭74內所設置之擴散空間78供給蝕刻氣體。被供給至擴散空間78的蝕刻氣體係從蓮蓬頭74的排出口成淋浴狀地被供給至晶圓W。在依此方式向晶圓W供給蝕刻氣體時,高頻電源76變成開,而在電極間形成電場,蝕刻氣體變成電漿,藉此,進行在晶圓W之表面之膜的蝕刻。
蝕刻處理模組7係供給用以進行在第3圖(f)、第4圖(g)所說明之蝕刻的蝕刻氣體。蝕刻處理模組70係供給用以進行在第4圖(i)所說明之蝕刻的蝕刻氣體。除了依此方式供給至晶圓W之蝕刻氣體的差異以外,蝕刻處理模組70係與蝕刻處理模組7一樣地構成。
其次,說明作為除去聚脲膜21之除去模組的解聚合模組79。此解聚合模組79係與蝕刻處理模組7一樣,具備經由閘閥6A與真空搬運室68連接,且內部被設定成真空環境的處理容器71,在該處理容器71內,被設置具備加熱器的載置台73,在該載置台73所載置之晶圓W係被加熱至上述之溫度,進行聚脲膜21之藉解聚合的除去。又,在解聚合模組79,例如被設置向處理容器71內供給惰性氣體的惰性氣體供給部,在如上述所示晶圓W被加熱時,處理容器71內係被設定成惰性氣體環境。
接著,一面參照縱向剖開側視圖亦即第15圖,一面說明摻雜模組8。第15圖中,81係被接地的處理容器,並經由閘閥6A與真空搬運室68連接。第15圖中,82係晶圓W之載置台,在載置台82,被埋設用以對晶圓W加熱之未圖示的加熱器與電極83。在電極83,經由匹配單元83B連接RF(radio frequency)偏壓用的高頻電源83A。第15圖中,84係對處理容器81內進行排氣,而將處理容器81內設定成所要之壓力之真空環境的排氣口。又,在摻雜模組8,設置:第1氣體供給部84,係從上方往晶圓W之中央噴出電漿激發用的惰性氣體及BF3 (三氟化硼)等之摻雜氣體;及第2氣體供給部85,係從晶圓W之外側朝向側方噴出惰性氣體及摻雜氣體。
第15圖中,86係產生電漿激發用之微波的微波產生器,並經由匹配器87、導波管88以及模式變換器89與同軸導波管91之上部連接。模式變換器89係將從微波產生器86所供給之TE模式的微波變換成TEM模式,並向同軸導波管91供給。此微波係從同軸導波管91被供給至構成處理容器81的天花板部之電介質構件92的中央部,並在該電介質構件92成放射狀地傳播,再經由在該電介質構件92之下方所設置的槽孔天線板94之未圖示的複數個槽孔(貫穿孔),被放射至電介質窗95,該電介質窗95係在該槽孔天線板94的下方被設置成與載置台82相對向。透過電介質窗95之微波係在該電介質窗95的正下產生電場,而使從第1氣體供給部84及第2氣體供給部85被供給至處理容器81內的氣體變成電漿。此外,第15圖中,96係具備冷卻水之流路的冷卻部,進行電介質構件92等之溫度調整。
將如上述所示在電介質窗95之正下所形成的電漿所含的基及離子吸入被施加該偏壓之載置台82上的晶圓W,進行摻雜。因此,此處所指之摻雜係包含離子注入。此外,亦可作成在離子源內使氣體或固體之蒸氣變成電漿,拉出此電漿內之離子後,藉質量分析器進行質量分析,將所要之離子分離,使用使藉所分離之離子的離子束經由加速管照射於晶圓W之構成的離子注入裝置,進行離子注入。
如第13圖所示,真空處理裝置6係與塗佈顯像裝置3一樣地具備控制部60,藉構成此控制部60之記憶媒體所儲存的程式向真空處理裝置6的各部輸出控制信號,控制各部的動作。具體而言,此程式係控制在真空處理裝置6內之晶圓W的搬運、在各模組之對晶圓W之各氣體的供給與停止供給、藉高頻電源的開與關之電漿的形成、以及在各模組之壓力調整等的動作,以對晶圓W實施在上述之第3圖(f)~第5圖(j)所說明之一連串之處理的方式組成步驟群。
說明此真空處理裝置6的動作。藉未圖示之搬運機構向真空處理裝置6搬運例如儲存在塗佈顯像裝置3已處理之晶圓W的載具C,並載置於搬出入埠62上時,藉第1搬運機構64取入該載具C內的晶圓W,並按照常壓搬運室61、對準室65以及真空預備室66A之順序搬運後,藉第2搬運機構6B,按照真空搬運室68、蝕刻處理模組7之順序搬運。然後,如在第3圖(f)之說明所示,藉已電漿化之例如CF4 氣體的蝕刻氣體,在該無機膜22形成遮罩圖案。進而,如在第4圖(g)之說明所示,藉已電漿化之例如氧氣的蝕刻氣體,進行聚脲膜21之藉蝕刻之遮罩圖案的形成及抗蝕劑膜23的除去。
然後,晶圓W係藉第2搬運機構6B,按照真空搬運室68、摻雜模組8之順序被搬運,進行在第4圖(h)所說明的離子注入。接著,晶圓W係藉第2搬運機構6B,按照真空搬運室68、蝕刻處理模組70之順序被搬運,在第4圖(i)之說明所示,藉已電漿化之例如CF4 氣體的蝕刻氣體,對無機膜22進行蝕刻而除去。然後,晶圓W係藉第2搬運機構6B,按照真空搬運室68、解聚合模組79之順序被搬運並被加熱,如第5圖(j)所示,對聚脲膜21進行解聚合,而原料單體從晶圓W擴散,藉此除去。然後,晶圓W係藉第2搬運機構6B,按照真空搬運室68、真空預備室66B之順序被搬運,再藉第1搬運機構64回到載具C。
而,亦可離子注入後之無機膜22的除去係藉濕蝕刻所進行。例如在由SiO2 或SiOC構成無機膜22的情況,為了進行濕蝕刻,可使用由HF(氟化氫)所構成之蝕刻液。又,亦可作成關於無機膜22、聚脲膜21的形成,不是藉液處理,而如後述所示藉CVD進行。但,如上述所示,藉由與以後所進行之抗蝕劑膜23的形成一樣地在常壓環境下藉液處理進行無機膜22、聚脲膜21的形成,可在塗佈顯像裝置3內進行如上述所示在第2圖(a)~第3圖(e)所說明之一連串的處理。又,無機膜22的除去,係與其前後之處理亦即離子注入及藉由加熱之聚脲膜21的除去同樣地,在真空環境下藉由乾蝕刻進行之,藉此方式而可在真空處理裝置6內進行在第3圖(f)~第5圖(j)所說明之一連串的處理。即,塗佈顯像裝置3、真空處理裝置6係作成在進行第2圖(a)~第5圖(j)之處理時,抑制載具C與晶圓W之搬運次數及在裝置間之載具C的搬運次數,而可抑制處理所需之時間的構成。
亦可如上述所示聚脲膜21係藉CVD進行成膜,在第16圖係表示用以向晶圓W供給包含上述之原料單體的氣體,並藉蒸鍍聚合來形成聚脲膜21的CVD模組101。111係劃分真空環境的真空容器,第16圖中,102係為了形成真空環境而對真空容器111內進行排氣的排氣機構。103、104係各自以液體收容作為原料單體之異氰酸脂與胺的原料供給源,異氰酸脂之液體及胺之液體係藉位於氣體供給管105、106的氣化器107、108變成蒸氣,各蒸氣被導入作為氣體排出部的蓮蓬頭109。
蓮蓬頭109係在下面形成多個排出孔,並構成為從不同的排出孔向處理環境排出異氰酸脂之蒸氣及胺之蒸氣。晶圓W係被載置於具備調溫機構之載置台110。而且,在將真空容器111內設定成既定壓力之真空環境的狀態,對晶圓W供給異氰酸脂之蒸氣及胺之蒸氣,而在晶圓W之表面進行蒸鍍聚合,形成上述之聚脲膜21。依此方式進行蒸鍍聚合時之真空容器111內的溫度係可因應於原料單體的種類來決定,例如可設定成40℃~150℃。例如在原料單體之蒸氣壓比較低的情況,晶圓W之溫度比較高較佳,例如在原料單體之蒸氣壓比較高的情況,晶圓W之溫度比較低較佳。此外,如上述所示,對無機膜22,亦可使用這種CVD模組101來形成。作為CVD模組101,和其他的模組一樣地與例如真空處理裝置6之真空搬運室68連接後使用。
而,對無機膜22預先補充說明,只要藉離子注入來形成碳化層之有機膜以外的膜即可,Cu(銅)膜、Ti(鈦)膜、Al(鋁)膜等之金屬膜亦包含於無機膜,進而,亦可在無機膜22與聚脲膜21之間,材質與這些無機膜22及聚脲膜21係相異的膜介入。而,在上述之處理,係按照蝕刻處理模組70、解聚合模組79之順序搬運晶圓W,除去無機膜22後,除去聚脲膜21,但是亦可按照解聚合模組79、蝕刻處理模組70之順序搬運晶圓W,除去聚脲膜21後,除去無機膜22。但,在用以除去聚脲膜21之加熱結束後,原料單體不會從晶圓W擴散而殘留於晶圓W之周圍,而再度從原料單體形成聚脲膜21。因為在聚脲膜21上設置膜時,可能抑制此原料單體的擴散,所以為了確實地除去聚脲膜21,先除去無機膜22,在該聚脲膜21之上方開放的狀態,進行該聚脲膜21之解聚合較佳。
此外,本發明係可應用於在為了形成源極或汲極而進行離子注入的情況或形成LDD(Lightly Doped Drain)的情況等半導體裝置之製程中之進行各種的離子注入的步驟。又,本發明係不限定為上述之實施形態,在各實施形態所示的例子係可適當地變更或彼此相組合。
[評估測試] 以下,說明與本發明相關聯地進行之評估測試。 ‧評估測試1 作為評估測試1,在邊長8cm之正方形之基板的表面進行聚脲膜21的成膜,並測量該聚脲膜21之膜厚與折射率後,在藉黏著膠帶將該基板固定於直徑300mm之晶圓W上的狀態進行離子注入後,測量該聚脲膜21之膜厚與折射率。然後,在350℃對晶圓加熱5分鐘,或在450℃加熱5分鐘。接著,調查此加熱處理後之聚脲膜21的狀態。
上述離子注入係根據4種處理條件中之任一種來進行。作為此處理條件,係將能量、劑量、摻雜劑分別為250keV、1E13cm-2 、磷(P)者,當作評估測試1-1。在此評估測試1-1,在離子注入前之聚脲膜21的膜厚、折射率係分別是471nm、1.534。作為處理條件,將能量、劑量係與評估測試1-1相同,而摻雜劑係BF3 者當作評估測試1-2。在此評估測試1-2,在離子注入前之聚脲膜21的膜厚、折射率係分別是463nm、1.532。又,作為此處理條件,將能量、劑量、摻雜劑分別是10keV、1E15cm-2 、P者,當作評估測試1-3。在此評估測試1-3,在離子注入前之聚脲膜21的膜厚、折射率係分別是483nm、1.534。作為處理條件,將能量、劑量係與評估測試1-1相同,而摻雜劑係BF3 者當作評估測試1-4。在此評估測試1-4,在離子注入前之聚脲膜21的膜厚、折射率係分別是310nm、1.532。
下述之第1表係收集評估測試1之結果。關於評估測試1-1、1-2,在進行350℃之加熱處理的情況聚脲膜21之膜厚係比50nm薄,在進行450℃之加熱處理的情況聚脲膜21係消失。關於評估測試1-3、1-4,無法測量離子注入後之聚脲膜21的膜厚及折射率。這是由於形成碳化層。而且,確認在進行350℃之加熱處理的情況聚脲膜21以白濁之狀態殘留於晶圓W,在進行450℃之加熱處理的情況碳化層殘留成殼,且此殼破裂。即,確認未除去碳化層,而成為粒子源。從本評估測試1的結果,得知本發明係在如評估測試1-3、1-4所示以比較低之能量且比較高的劑量進行離子注入的情況尤其有效,將無機膜22形成於聚脲膜21上是有效。
[表1]
Figure 107107513-A0304-0001
‧評估測試2 作為評估測試1,在將聚脲膜21成膜於晶圓W後,使用傅立葉變換紅外分光光度計(FT-IR),分別取得表示聚脲膜21中之脲鍵的存在之特定波長的尖峰值(當作初期脲鍵尖峰值)的大小、與表示構成聚脲膜21中之骨架之CH鍵結的存在之特定波長的尖峰值(當作初期CH鍵結尖峰值)的大小。然後,進行如下之任一種,不進行離子注入地進行退火處理、或在按照與評估測試1-1相同的處理條件(低劑量、高能量)將P進行離子注入後進行退火處理、或者在按照與評估測試1-3相同的處理條件(高劑量、低能量)將P進行離子注入後進行退火處理。將不進行離子注入地進行退火處理者當作評估測試2-1,將在按照與評估測試1-1相同的處理條件進行離子注入後進行退火處理者當作評估測試2-2,將在按照與評估測試1-3相同的處理條件進行離子注入後進行退火處理者當作評估測試2-3。這些評估測試2-1~評估測試2-3的退火處理係以對各晶圓W相異的溫度進行5分鐘。
然後,對退火處理後的晶圓W,使用FT-IR,分別取得表示脲鍵的存在之特定波長的尖峰值(加熱後脲鍵尖峰值)的大小、與表示CH鍵結的存在之特定波長的尖峰值(加熱後CH鍵結尖峰值)的大小。然後,對各晶圓W,分別算出脲鍵之尖峰值的維持率=加熱後脲鍵尖峰值的大小/初期脲鍵尖峰值的大小×100(%),CH鍵結之尖峰值的維持率=加熱後CH鍵結尖峰值的大小/初期CH鍵結尖峰值的大小×100(%)。
第17圖、第18圖的圖形係表示評估測試3之結果。17圖、第18圖之圖形的橫軸係表示退火處理時之晶圓W的加熱溫度,第17圖之圖形的縱軸、第18圖之圖形的縱軸係分別表示脲鍵之尖峰值的維持率、CH鍵結之尖峰值的維持率。表示這些鍵結之尖峰值的維持率愈大,聚脲膜21殘留愈多。在各圖形,以全塗黑之四角形的圖示、三角形的圖示、空白之四角形的圖示分別表示評估測試2-1的結果、評估測試2-2的結果、評估測試2-3的結果。
比較評估測試2-1、2-2的結果時,在加熱溫度300℃的情況,關於脲鍵之尖峰值的維持率及CH鍵結之尖峰值的維持率,評估測試2-2稍高。而且,在350℃以上的加熱溫度,在評估測試2-2,關於脲鍵之尖峰值的維持率及CH鍵結之尖峰值的維持率,成為很低的值。即,在評估測試2-2,包含因離子注入而變質之處,得知可藉熱除去聚脲膜21。
可是,在評估測試2-3,在加熱溫度比約300℃更高且400℃以下的範圍,脲鍵之尖峰值的維持率及CH鍵結之尖峰值的維持率比評估測試2-1、2-2高。即,得知聚脲膜21的除去率低。因此,從本評估測試2-3,得知在僅將聚脲膜21作為遮罩的情況,形成了碳化層,而聚脲膜21之除去受到抑制。此外,在圖形雖未表示400℃以上的情況之評估測試2-3的結果,但是確認發生碳化層的破裂。因此,從本評估測試2,亦可評估測試1一樣,確認將無機膜22形成於聚脲膜21上並進行離子注入者係有效。
‧評估測試3 向晶圓W供給作為胺使H6XDA氣化所產生之蒸氣、作為異氰酸脂使H6XDI氣化所產生之蒸氣,形成聚脲膜21。但,在本評估測試3,與在第16圖所說明之CVD模組101係相異,使用從晶圓W的一端側朝向晶圓W的另一端側在水平方向供給各蒸氣的CVD裝置,進行成膜。作為H6XDA,係加熱至85℃,氣化量係當作0.3g/分鐘。作為H6XDI,係加熱至110℃,氣化量係當作0.1g/分鐘。這些蒸氣之對晶圓W的供給係進行300秒,真空容器111之壓力係當作0.2Torr(26.67Pa)。又,在蒸氣的供給中之晶圓W的溫度係每次進行處理時變更,設定成80℃、70℃或60℃。對已進行成膜的晶圓W,測量在面內的各部所形成之聚脲膜21的膜厚。
在晶圓W的溫度是80℃的情況,膜厚的平均值是54nm,最大值是65nm,最小值是40nm,1σ是13%。在晶圓W的溫度是70℃的情況,膜厚的平均值是144nm,最大值是188nm,最小值是92nm,1σ是20%。在晶圓W的溫度是60℃的情況,膜厚的平均值是297nm,最大值是468nm,最小值是142nm,1σ是34%。如以上所示,從本評估測試3,確認藉由對晶圓W供給胺之蒸氣及異氰酸脂之蒸氣,可形成聚脲膜21。
‧評估測試4 對丙酮添加胺亦即H6XDA,調製第1藥液,對丙酮添加異氰酸脂亦即H6XDI,調製第2藥液。然後,將這些藥液彼此混合而調製混合溶液後,馬上旋塗於以1500rpm轉動的基板。然後,測量所形成膜的重量與膜厚。第1藥液、第2藥液中之H6XDA、H6XDI的濃度係每次塗佈就變更,將濃度彼此相同的第1藥液、第2藥液混合,調製混合溶液。
上述之測試的結果,在濃度是20wt%時重量是7.7mg,膜厚是3.6μm,在濃度是5wt%時重量是1.7mg,膜厚是0.7μm,在濃度是2.5wt%時重量是1.1mg,膜厚是0.5μm,在濃度是2.0wt%時重量是0.8mg,膜厚是0.3μm,在濃度是1.0wt%時重量是0.3mg,膜厚是0.1μm。從本評估測試4的結果,確認藉旋塗可進行聚脲膜之成膜。
W‧‧‧晶圓3‧‧‧塗佈顯像裝置5‧‧‧聚脲膜形成模組6‧‧‧真空處理裝置6B‧‧‧第2搬運機構7‧‧‧蝕刻處理模組8‧‧‧摻雜模組14‧‧‧Si層21‧‧‧第1遮罩用膜(聚脲膜)22‧‧‧無機膜23‧‧‧抗蝕劑膜36‧‧‧無機膜形成模組37‧‧‧抗蝕劑膜形成模組38‧‧‧顯像模組
第1圖係表示晶圓之表面的示意立體圖。 第2圖(a)~(c)係表示本發明之實施形態的半導體裝置之製造方法的步驟之一部分的說明圖。 第3圖(d)~(f)係表示本發明之實施形態的半導體裝置之製造方法的步驟之一部分的說明圖。 第4圖(g)~(i)係表示本發明之實施形態的半導體裝置之製造方法的步驟之一部分的說明圖。 第5圖(j)係表示本發明之實施形態的半導體裝置之製造方法的步驟之一部分的說明圖。 第6圖係表示藉共聚合之反應產生具有脲鍵的聚合物之狀況的說明圖。 第7圖(a)、(b)係表示比較例之半導體裝置之製造方法的步驟之一部分的說明圖。 第8圖(a)、(b)係表示形成脲膜之反應的說明圖。 第9圖係用以實施該半導體裝置之製造方法之塗佈顯像裝置的平面圖。 第10圖係該塗佈顯像裝置之立體圖。 第11圖係該塗佈顯像裝置之縱向剖開側視圖。 第12圖係在該塗佈顯像裝置所設置之用以形成聚脲膜之模組的縱向剖開側視圖。 第13圖係用以實施該半導體裝置之製造方法之真空處理裝置的平面圖。 第14圖係在該塗佈顯像裝置所設置之蝕刻處理模組的縱向剖開側視圖。 第15圖係在該塗佈顯像裝置所設置之摻雜模組的縱向剖開側視圖。 第16圖係表示用以藉蒸氣使異氰酸脂與胺反應而產生具有脲鍵的聚合物之裝置的剖面圖。 第17圖係表示評估測試之結果的圖形。 第18圖係表示評估測試之結果的圖形。
11‧‧‧p-MOS之形成區域
12‧‧‧n-MOS之形成區域
14‧‧‧Si層
21‧‧‧第1遮罩用膜(聚脲膜)
22‧‧‧第2遮罩用膜(無機膜)
25‧‧‧開口部
26‧‧‧開口部
27‧‧‧離子注入區域
B‧‧‧硼

Claims (11)

  1. 一種半導體裝置之製造方法,用來對基板進行處理,以製造半導體裝置,其特徵為包含: 第1遮罩用膜形成步驟,係向該基板之表面供給聚合用之原料,以形成由具有脲鍵之聚合物所構成的第1遮罩用膜; 第2遮罩用無機膜形成步驟,係以疊層於該第1遮罩用膜上的方式形成第2遮罩用無機膜; 在第1遮罩用膜及第2遮罩用無機膜形成圖案步驟,在該第1遮罩用膜及該第2遮罩用無機膜形成圖案,並對該基板之表面進行離子注入; 第2遮罩用無機膜除去步驟,係在該離子注入後除去該第2遮罩用無機膜;以及 第1遮罩用膜除去步驟,係在該離子注入後對基板加熱,而將該聚合物予以解聚合,除去該第1遮罩用膜。
  2. 如申請專利範圍第1項之半導體裝置的製造方法,其中 該在第1遮罩用膜及第2遮罩用無機膜形成圖案步驟係: 包含轉印步驟,該轉印步驟係將抗蝕劑膜疊層於第2遮罩用膜上,接著在該抗蝕劑膜形成圖案,然後,將該抗蝕劑膜的圖案轉印至第1遮罩用膜及第2遮罩用無機膜;並 包含抗蝕劑膜除去步驟,除去該抗蝕劑膜直至進行該離子注入。
  3. 如申請專利範圍第1或2項之半導體裝置的製造方法,其中該第1遮罩用膜除去步驟,係將該基板加熱至300℃~450℃而進行之。
  4. 如申請專利範圍第1項之半導體裝置的製造方法,其中該第2遮罩用無機膜係包含矽之膜。
  5. 如申請專利範圍第1項之半導體裝置的製造方法,其中該第1遮罩用膜形成步驟,係向該基板供給異氰酸脂之液體與胺之液體,且在已被加熱之該基板的表面使該異氰酸脂與胺進行聚合反應的步驟。
  6. 如申請專利範圍第5項之半導體裝置的製造方法,其中向該基板供給該異氰酸脂之液體與胺之液體的步驟係包含: 異氰酸脂液體供給步驟,係從儲存異氰酸脂之液體的第1供給源向第1流路供給異氰酸脂之液體; 胺液體供給步驟,係從儲存胺之液體的第2供給源向第2流路供給該胺之液體;以及 排出步驟,係向該第1流路之下游側與該第2流路之下游側匯流所形成的匯流路供給該異氰酸脂之液體與該胺之液體,將這些液體混合,再從噴嘴向該基板排出。
  7. 如申請專利範圍第1項之半導體裝置的製造方法,其中該第1遮罩用膜形成步驟係聚合反應步驟,該聚合反應步驟係向該基板供給異氰酸脂之蒸氣與胺之蒸氣,且將該基板加熱,使異氰酸脂與胺進行聚合反應。
  8. 一種基板處理裝置,其特徵為: 包括: 第1成膜部,係在基板之表面形成由具有脲鍵之聚合物所構成的第1遮罩用膜; 第2成膜部,係以被疊層於該第1遮罩用膜上的方式形成第2遮罩用無機膜; 第3成膜部,係以被疊層於該第2遮罩用無機膜上的方式形成抗蝕劑膜; 加熱處理部,係對該抗蝕劑膜被曝光後的該基板進行加熱處理; 顯像處理部,係用以對該已被加熱處理之該基板進行顯像;以及 搬運機構,係用以進行處理基板的各部之間的搬運; 該第1成膜部包含:載置台,係載置該基板;及原料排出部,係向在該載置台所載置之該基板供給聚合用之液體。
  9. 如申請專利範圍第8項之基板處理裝置,其中該第1成膜部包括:異氰酸脂用之原料排出部,係向基板供給異氰酸脂之液體;及胺用之原料排出部,係向基板供給胺之液體。
  10. 一種真空處理裝置,其特徵為包含: 離子注入模組,係在真空環境對基板注入離子,而於該基板形成有由具有脲鍵之聚合物所構成的第1遮罩用膜、與在該第1遮罩用膜上所疊層之第2遮罩用無機膜,並在該第1遮罩用膜及該第2遮罩用膜分別形成有遮罩圖案; 蝕刻處理模組,係向該基板供給用以在該離子注入後於真空環境除去該第2遮罩用膜的蝕刻氣體;以及 除去模組,係在該離子注入後於真空環境將該基板加熱,使該聚合物進行解聚合,而除去該第1遮罩用膜。
  11. 如申請專利範圍第10項之真空處理裝置,其中 構成該離子注入模組之第1真空容器,與構成該蝕刻處理模組與該除去模組中之至少一方的第2真空容器係分開的; 具備在該第1真空容器與該第2真空容器之間搬運基板的搬運機構。
TW107107513A 2017-03-10 2018-03-07 半導體裝置之製造方法、基板處理裝置及真空處理裝置 TWI700731B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017-046467 2017-03-10
JP2017046467A JP6763325B2 (ja) 2017-03-10 2017-03-10 半導体装置の製造方法、基板処理装置及び真空処理装置

Publications (2)

Publication Number Publication Date
TW201843704A TW201843704A (zh) 2018-12-16
TWI700731B true TWI700731B (zh) 2020-08-01

Family

ID=63445504

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107107513A TWI700731B (zh) 2017-03-10 2018-03-07 半導體裝置之製造方法、基板處理裝置及真空處理裝置

Country Status (5)

Country Link
US (1) US10490405B2 (zh)
JP (1) JP6763325B2 (zh)
KR (1) KR102312761B1 (zh)
CN (1) CN108573854B (zh)
TW (1) TWI700731B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6809315B2 (ja) * 2017-03-15 2021-01-06 東京エレクトロン株式会社 半導体装置の製造方法及び真空処理装置
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
JP7065741B2 (ja) * 2018-09-25 2022-05-12 東京エレクトロン株式会社 半導体装置の製造方法
KR20200143605A (ko) * 2019-06-14 2020-12-24 삼성전자주식회사 열분해막을 이용한 반도체 소자의 제조 방법, 반도체 제조 장비 및 이를 이용하여 제조된 반도체 소자
CN110993615A (zh) * 2019-11-28 2020-04-10 信利(仁寿)高端显示科技有限公司 一种tft基板的离子注入方法和制作方法
JP7466406B2 (ja) 2020-08-20 2024-04-12 東京エレクトロン株式会社 半導体装置の製造方法および成膜装置
JP2022130880A (ja) * 2021-02-26 2022-09-07 株式会社Screenホールディングス 基板処理装置、および、基板処理方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050227482A1 (en) * 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3607365A (en) * 1969-05-12 1971-09-21 Minnesota Mining & Mfg Vapor phase method of coating substrates with polymeric coating
JPH0335239A (ja) * 1989-06-30 1991-02-15 Toshiba Corp 半導体装置の製造方法
JPH0335239U (zh) * 1989-08-14 1991-04-05
JPH07209864A (ja) * 1994-01-20 1995-08-11 Ulvac Japan Ltd パターン形成方法およびパターン形成装置
US5851261A (en) * 1996-12-30 1998-12-22 Bayer Corporation Process for the production of polyurea encapsulated fertilizer particles and the encapsulated fertilizer particles produced by this process
JP2005292528A (ja) * 2004-04-01 2005-10-20 Jsr Corp レジスト下層膜形成組成物、レジスト下層膜およびパターン形成方法
JP2007279702A (ja) * 2006-03-17 2007-10-25 Ricoh Co Ltd トナー、並びにそれを用いた現像剤、及び画像形成方法
JP6088827B2 (ja) * 2013-01-10 2017-03-01 富士フイルム株式会社 ネガ型レジスト組成物、それを用いたレジスト膜及びパターン形成方法、並びにレジスト膜を備えたマスクブランクス
EP2770373A1 (en) * 2013-02-20 2014-08-27 Imec Conformal anti-reflective coating
JP2014202969A (ja) * 2013-04-05 2014-10-27 富士フイルム株式会社 パターン形成方法、電子デバイス及びその製造方法
JP6119667B2 (ja) * 2013-06-11 2017-04-26 信越化学工業株式会社 下層膜材料及びパターン形成方法
JP6097652B2 (ja) * 2013-07-31 2017-03-15 富士フイルム株式会社 パターン形成方法、パターン、並びに、これらを用いたエッチング方法、及び、電子デバイスの製造方法
JP6243815B2 (ja) 2014-09-01 2017-12-06 信越化学工業株式会社 半導体装置基板の製造方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050227482A1 (en) * 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers

Also Published As

Publication number Publication date
TW201843704A (zh) 2018-12-16
CN108573854B (zh) 2023-02-28
US10490405B2 (en) 2019-11-26
JP2018152422A (ja) 2018-09-27
KR102312761B1 (ko) 2021-10-15
CN108573854A (zh) 2018-09-25
US20180261458A1 (en) 2018-09-13
JP6763325B2 (ja) 2020-09-30
KR20180103704A (ko) 2018-09-19

Similar Documents

Publication Publication Date Title
TWI700731B (zh) 半導體裝置之製造方法、基板處理裝置及真空處理裝置
US11056349B2 (en) Method of fabricating semiconductor device, vacuum processing apparatus and substrate processing apparatus
JP6928043B2 (ja) ガス分配及び個別のポンピングを伴うバッチ硬化チャンバ
JP6610812B2 (ja) 半導体装置の製造方法、真空処理装置及び基板処理装置
KR101568748B1 (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체
US6204201B1 (en) Method of processing films prior to chemical vapor deposition using electron beam processing
US9023737B2 (en) Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20130048605A1 (en) Double patterning etching process
US20040185674A1 (en) Nitrogen-free hard mask over low K dielectric
KR100806828B1 (ko) 웨이퍼 트랙 환경에서 플라즈마 처리 챔버들로 반도체웨이퍼들을 처리하기 위한 방법들 및 장치
TWI720294B (zh) 半導體裝置之製造方法及真空處理裝置
JP6696491B2 (ja) 半導体装置の製造方法及び真空処理装置