TWI697103B - 使用自對準雙圖案化以切割密集線圖案的方法及結構 - Google Patents

使用自對準雙圖案化以切割密集線圖案的方法及結構 Download PDF

Info

Publication number
TWI697103B
TWI697103B TW107138803A TW107138803A TWI697103B TW I697103 B TWI697103 B TW I697103B TW 107138803 A TW107138803 A TW 107138803A TW 107138803 A TW107138803 A TW 107138803A TW I697103 B TWI697103 B TW I697103B
Authority
TW
Taiwan
Prior art keywords
mask
forming
semiconductor structure
dielectric layer
mandrel
Prior art date
Application number
TW107138803A
Other languages
English (en)
Other versions
TW201937707A (zh
Inventor
范魯明
子群 華
李碧峰
曹清晨
耀斌 馮
夏志良
霍宗亮
Original Assignee
大陸商長江存儲科技有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 大陸商長江存儲科技有限責任公司 filed Critical 大陸商長江存儲科技有限責任公司
Publication of TW201937707A publication Critical patent/TW201937707A/zh
Application granted granted Critical
Publication of TWI697103B publication Critical patent/TWI697103B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76892Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Non-Volatile Memory (AREA)

Abstract

形成一半導體結構的方法包括在基底上設置絕緣層與第一介電層,並在第一介電層上形成複數個心軸線;以第一遮罩形成一或多組不連續心軸線對,各組包括2N個不連續心軸線對與N個交叉處;在心軸線與不連續心軸線對的側壁上以第二介電層形成介電側壁子;移除心軸線與不連續心軸線對以形成側壁子遮罩,使用第二遮罩形成一或多組阻擋區,利用側壁子遮罩與第二遮罩的結合而形成延伸穿過第一介電層的開口;移除側壁子遮罩與第二遮罩以暴露出第一介電層的頂面,在開口中設置目標材料,並形成頂面與第一介電層頂面共平面的目標線。

Description

使用自對準雙圖案化以切割密集線圖案的方法及結構
本案主張在2017年11月23日提出申請的中國專利申請案第201711183484.8的優先權,其全文引用作為本說明書的揭示內容。
本揭露大體上係有關於半導體技術領域,特別關於用來形成一種三維(three-dimensional,3D)記憶體的方法。
藉由製程技術、電路設計、程式演算及製程的進步,平面記憶體單元的規格已被縮小到更小的尺寸。然而,當記憶體單元的特徵尺寸(feature size)接近下限時,平面製程以及製作技術面臨了挑戰且耗費成本。因此,平面記憶體單元接近了記憶體密度的上限。三維(three-dimensional,3D)記憶體架構可以克服平面記憶體胞的密度上限。
在本揭露中敘述了在三維(3D)記憶體中使用自對準雙圖案化來切割密集線圖案之方法的實施例。
在一些實施例中描述了使用自對準雙圖案化(self-aligned double patterning,SADP)來切割3D記憶體周邊區中之頁面緩衝器的密集線圖案之方法。該方法包括使用以光學接近修正(optical proximity correction,OPC)設計的一第一遮罩來暴露出一頁面緩衝器之兩相鄰心軸線之一部分,移除該部分並形成二個不連續心軸線對。該方法還包括在該頁面緩衝器之該心軸線上設置一介電層,並形成沿著心軸線側壁的介電側壁子。該方法還包括選擇性地移除介電側壁子上的心軸線。該方法還包括使用一第二遮罩以阻擋遮蔽該介電側壁子的一部分,設置一導電層並在沒有被第二遮罩所阻擋遮蔽的介電側壁子之間形成導電線。
在一些實施例中,導電層包括與三條相鄰導電線相交的一個不連續圖案。
在一些實施例中,介電層包括氧化矽、氮化矽、氮氧化矽或上述之組合。
在一些實施例中,導電層之設置包括物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)以及化學氣相沉積(chemical vapor deposition,CVD)。
在一些實施例中,導電層包括金屬或經摻雜之矽。
在一些實施例中,使用SADP以切割3D記憶體周邊區的頁面緩衝器之密集線圖案的方法還包括一平坦化製程,以形成與晶圓上已圖案化結構共平面的導電層。
在一些實施例中,使用於導電層的金屬包括鎢、鈷、銅及鋁。
在一些實施例中,經由介電側壁子(spacer)所形成的線圖案之數量為心軸線數量的兩倍。沿著兩個不連續心軸線對的兩對介電側壁子線在對應位置處也是不連續的。在各對中有兩個介電側壁子橫條(cross-bars)連接於不連續的介電側壁子線。第二遮罩阻擋遮蔽了兩對不連續介電側壁子線之間的中心 間隔。第二遮罩的兩端沿著兩對介電側壁子延伸,覆蓋兩者之間的間隔。
在一些實施例中,第二遮罩的寬度不大於兩個中央介電側壁子外緣之間的距離。第二遮罩的寬度也不小於兩個中央介電側壁子內緣之間的距離。
在一些實施例中,一3D NAND記憶體晶片可包括一頁面緩衝器,其具有使用前述任何製程之SADP所形成的切割圖案。
按照本揭露的發明說明書、申請專利範圍以及圖式,相關領域技術人員可以瞭解本揭露的其他相關方面。
100、200、300、400、500、600、700、800、900、901:半導體結構
101:心軸線
102、632:剖面線
103:基底
104:絕緣層
106:第一介電層
106s、950s:頂面
212:不連續心軸線對
212e:端面
214、514:交叉處
216、516:間隔線
218、518:間隔通道
320:第二介電層
422、424、424e:介電側壁子
522、524、524e、524J:側壁子遮罩(介電側壁子)
630:阻擋區
631、a6、b1、b6、c6:間隔
740、840:開口
950、950J:目標線
955:分裂區
960、960s:不連續線對
a1、d6、d7、s:寬度
d1、d2、d3、d4、d5、H:厚度
g:間隙(間隙寬度)
p1、p6、p7:間距
t:長度(距離)
1000:方法
1010~1090:製程步驟
所附圖式併入本文並構成說明書的一部分,其繪示出了本揭露所揭示的實施例,並且與詳細說明一起用於解釋本揭露所揭示的原理,以使相關領域技術人員能夠製作及使用本揭露所揭示的內容。
第1圖為本揭露一些實施例的一半導體結構示例的俯視示意圖以及剖視示意圖,其中該半導體結構具有形成在一第一介電層上的複數個心軸線。
第2圖為本揭露一些實施例的一半導體結構示例的俯視示意圖以及剖視示意圖,其中該半導體結構具有由一第一遮罩所形成的一分裂區。
第3圖為本揭露一些實施例的具有一第二介電層之半導體結構示例的俯視示意圖以及剖視示意圖。
第4圖為本揭露一些實施例的具有介電側壁子之半導體結構示例的俯視示意圖以及剖視示意圖。
第5圖為本揭露一些實施例的具有側壁子遮罩之半導體結構示例的俯視示意圖以及剖視示意圖。
第6圖為本揭露一些實施例的一半導體結構示例的俯視示意圖以及剖視示意 圖,其中該半導體結構具有使用一第二遮罩製作的阻擋區。
第7圖為本揭露一些實施例的一半導體結構示例的俯視示意圖以及剖視示意圖,其中該半導體結構具有利用第二遮罩與側壁子遮罩的結合而在第一介電層中所形成的開口。
第8圖為本揭露一些實施例的在第一介電層中具有開口的半導體結構示例的俯視示意圖以及剖視示意圖。
第9A圖與第9B圖為本揭露一些實施例的具有形成在第一介電層中的目標材料線之半導體結構示例的俯視示意圖以及剖視示意圖。
第10圖為本揭露一些實施例之製作流程示例的示意圖。
當配合附圖時,本揭露的特徵以及優點從下文提出的詳細說明是顯而易見的,其中相似的特質/特徵在全文中係認定為對應的元件。一般而言,附圖中相似的數字符號表示相同、功能相近及/或結構相似的元件。元件第一次出現時的圖式是以對應的數字符號最左邊數字表示出。
將參考附圖描述本公開的實施例。
儘管本文討論了具體的結構及配置,但應該理解,這僅僅是為了說明及示例的目的而完成的。相關領域的技術人員應可理解,在不脫離本揭露的精神及範圍的情況下,可以使用其他結構及佈置。對於相關領域的技術人員顯而易見的是,本揭露還可以用於各種其他應用中。
值得注意的是,在說明書中對提及「一個實施例」、「一實施例」、「示範性實施例」、「一些實施例」等的引用表示所描述的實施例可以包括特定的特徵、結構或特性,但並非每個實施例都一定需要包括此特定的特徵、結構或特性,而且這些用語不一定指相同的實施例。此外,當特定特徵、結構或 特性結合實施例描述時,無論是否於文中明確教示,結合其他實施例來實現這些特徵、結構或特性皆屬於相關領域的技術人員的知識範圍所及。
通常,術語可以至少部分地根據上、下文中的用法來理解。例如,如本文所使用的術語「一或多個」可用於以單數意義描述任何特徵、結構或特性,或可用於描述特徵、結構或特徵的複數組合,至少可部分取決於上、下文。類似的,術語諸如「一」、「一個」或「該」也可以被理解為表達單數用法或傳達複數用法,至少可部分取決於上、下文。此外,術語「基於」可以被理解為不一定旨在傳達排他性的一組因素,並且可以相反地允許存在未必明確描述的附加因素,並且至少部分取決於上、下文。
應該容易理解的是,本文中的「在...上面」、「在...之上」及「在...上方」的含義應該以最寬泛的方式來解釋,使得「在...上面」不僅意味著「直接在某物上」,而且還包括在某物上且兩者之間具有中間特徵或中間層,並且「在...之上」或「在...上方」不僅意味著在某物之上或在某物上方的含義,而且還可以包括兩者之間沒有中間特徵或中間層(即,直接在某物上)的含義。
此外,為了便於描述,可以在本文使用諸如「在...下面」、「在...之下」、「較低」、「在...之上」、「較高」等空間相對術語來描述一個元件或特徵與另一個或多個元件或特徵的關係,如圖式中所表示者。除了圖式中描繪的方向之外,這些空間相對術語旨在涵蓋使用或操作中的裝置的不同方位或方向。該裝置可以其他方式定向(例如以旋轉90度或以其它方向來定向),並且同樣能相應地以本文中所使用的空間相關描述來解釋。
如本文所用,術語「基底」是指在其上添加後續材料層的材料。基底包括一頂面與一底面。基底的頂面是一半導體形成的所在處,因此半導體元件是形成在基底的上側。底面為頂面的相對側,因此基底的下側相對於基底的上側。基底本身可以被圖案化。添加在基底頂部的材料可以被圖案化或可以保 持未圖案化。此外,基底可以包括多種半導體材料,例如矽、鍺、砷化鎵、磷化銦等。或者,基底可以由非導電材料製成,例如玻璃、塑料或藍寶石晶圓。
如本文所使用的,術語「層」是指一材料部分,其一區域具有一厚度。一層具有一上側與一下側,其中該下側相對較接近於基底,而該上側相對較遠離基底。一層的範圍可以在整個下層或上層結構上延伸,或者其範圍可以小於下層或上層結構的範圍。此外,一層可以為均勻或不均勻連續結構的一區域,其厚度可小於該連續結構的厚度。例如,一層可以位於該連續結構的頂表面及底表面之間或在該連續結構的頂表面及底表面之間的任何一對水平平面之間。一層可以水平地、垂直地及/或沿著漸縮表面延伸。一基底可以為一層,其可以包括一層或多層,及/或可以在其上面及/或下面具有一層或多層。一層可以包含多層。例如,互連層可以包括一或多個導體及接觸層(其中形成有接觸件、互連線及/或通孔)以及一或多個介電層。
本文所使用的術語「名義上(nominal)」是指在產品或製程的設計階段期間設定的組件或製程操作的特性或參數的期望值或目標值,以及高於及/或低於期望值的數值範圍。數值範圍可能由於製造工藝或公差而有輕微變化。如本文所使用的術語「約/大約」表示可能會隨著與對象半導體元件相關聯的特定技術點而改變的給定量數值。基於特定的技術點,術語「約/大約」可以指示出給定量數值,例如在該數值的10-30%內變化(例如,該數值的±10%、±20%或±30%)。
在本揭露中,術語「水平/水平地/橫向/橫向地」名義上是指平行於基底的橫向表面。在本揭露中,術語「各」可能不必然是指「所有的每一個(each of all)」,但也可以是指「一子集(subset)中的每一個」。
本文所使用的術語「3D記憶體裝置(3D memory)」是指在橫向基底上具有垂直方向串列的記憶體單元電晶體(本文稱為「記憶體串」,例如反及串 (NAND string)),因此記憶體串相對於基底是沿著垂直方向延伸。本文所用的術語「垂直/垂直地」是指名義上/大體上垂直於一基底之橫向表面。
在一些實施例中,一NAND串或一3D記憶體包含垂直延伸穿過複數個導體/介電層對的一半導體柱(pillar)(例如矽通道)。該複數個導體/介電層對在本文中也意旨「交替導體/介電堆疊」。導體層以及半導體柱的相交處可以形成一記憶體單元。交替導體/介電堆疊的導體層可以連接於位於後段(back-end-of-line,BEOL)的字元線,其中一字元線可電連接一或多個控制閘。半導體柱的頂部(例如電晶體汲極區)可連接於一位元線(電連接於一或多個半導體柱)。典型上而言,字元線以及位元線會彼此垂直設置(例如分別排為列與行)以形成記憶體陣列。一記憶體晶片可具有一或多個陣列區塊(array block)或陣列組(array bank)。一陣列組也可被分成較小的陣列區段(array segment)。陣列是一記憶體晶片中執行儲存功能的核心區域。為了達到較高的位元密度,3D記憶體堆疊的數量被大大地增加,因此增加了製作複雜性與成本。
記憶體晶片還包括稱為周邊區(periphery)的部分,其提供了對核心支持的功能。周邊區包括許多數位、類比及/或混合訊號電路,例如列(row)與行(column)解碼器(decoder)、致動器(drivers)、頁面緩衝器(page buffer)、感應放大器(sense amplifier)、計時器以及控制器。周邊區電路使用主動式及/或被動式半導體元件,例如電晶體、二極體、電容、電阻等。
為了降低每位元成本,半導體元件的關鍵特徵尺寸被大幅度地縮小。先進的微影技術利用波長較短的光源(例如193nm的深紫外(deep ultraviolet,DUV)雷射光)以提供較小的臨界尺寸。為了在193nm波長之最小尺寸變為片段(fraction)時減少衍射,除了光學設備的改進(例如具有較高數值孔徑的透鏡、浸入技術、偏軸照射及/或使用多光源)之外,還使用光盤(reticle)增強技術,例如相位移光罩和光學接近修正(optical-proximity correction,OPC)。計算微影和 設計規則限制(例如限制雙向特徵等)可以進一步增強使用現有微影系統的圖案化能力。在極紫外光(extreme ultraviolet,EUV)技術在商業上可行之前,雙圖案化是一種能夠在次30nm製程節點上製造的技術。雙圖案化使用兩個微影光罩以及一微影-蝕刻、微影-蝕刻(litho-etch,litho-etch,LELE)製程而將密集圖案分割成兩個較低密集特徵的交錯圖案。雙圖案化的主要問題是此兩個微影的不對準。諸如自對準雙圖案(self-aligned double patterning,SADP)或間隔輔助雙圖案的其他替代技術可以提供更精細的間距。
SADP使用圍繞住由微影光罩定義出的源元件(也稱為「心軸」)的材料。利用側壁圖像傳輸方法,填充在側壁子之間的金屬可以形成金屬線。SADP的關鍵特徵由源元件周圍的側壁子決定,而不直接由光罩上的任何特徵決定。其餘的設計特徵可以使用切割光罩來達成。
理想上希望能設計出在臨界、較低金屬層(諸如第一層金屬(metal one,M1)和第二層金屬(metal two,M2)以及局部互連和閘極金屬等)上具有等寬度及/或等間隔的直線。在這些層中,利用佈局設計和切割遮罩可以進一步提高圖案密度。例如,在3D記憶體周邊區的頁面緩衝器之M2層上,低壓和高壓位元線可以共用一M2遮罩上的相同金屬線,並且可以在後續製程中使用切割遮罩而使其分離。因此,理想上希望能有一種可靠的技術可使用SADP來切割密集圖案。
本揭露的各種實施例提供了切割3D記憶體之金屬內連線的密集線圖案的方法以及結構。一般係使用一單一遮罩來切割密集線。一或多組密集線可使用複數個交錯圖形而切割成三個一組,其中各圖形分別具有一個線間距之偏移。當線間距小於50nm時,切割圖形以及密集金屬線之間的對準會變得非常困難而只有一間距的容許誤差。在本揭露中,可使用第一切割遮罩而在較大間距下切割心軸線,並且可使用一第二切割遮罩以進一步在較寬鬆的容許誤差下 使密集特徵分離。雖然本揭露是以敘述金屬線圖案為例,但所揭露之方法與結構可以應用於圖案化晶片設計中的密集線以及一般製造中。
第1圖為根據一些實施例所繪示的一示例性半導體結構100的俯視示意圖(上部)以及剖視示意圖(下部),其中半導體結構100具有複數個心軸線(mandrel line)101。心軸線101具有寬度(width,或稱“線寬”)“a1”與間隔(spacing,或稱“線距”)“b1”。在一些實施例中,心軸線101可具有不同的寬度與間隔。為達闡釋之目的,此處使用具有相同寬度“a1”以及相同間隔“b1”的心軸線101以簡化說明。心軸線的間距(pitch)“p1”(也稱為線間距)意指一重複的週期(period),例如從一心軸線的邊緣到一相鄰心軸線的對應邊緣之間的距離。間距“p1”相等於寬度“a1”以及間距“b1”的總和。寬度“a1”可以為從約5nm至40nm之間的尺寸、從約10nm至約35nm之間的尺寸、從約15nm至約30nm之間的尺寸、從約19nm至約29nm之間的尺寸等等。間隔“b1”可以為從約15nm至120nm之間的尺寸、從約30nm至約95nm之間的尺寸、從約45nm至約90nm之間的尺寸、從約30nm至約60nm之間的尺寸等等。心軸線101的間距“p1”可以為從約20nm至160nm之間的尺寸、從約40nm至約140nm之間的尺寸、從約60nm至約120nm之間的尺寸、從約49nm至約89nm之間的尺寸等等。
半導體結構100沿著剖面線102的剖視圖示於第1圖的下部。半導體結構100包括一基底103、一絕緣層104、一第一介電層106以及設於第一介電層106之上的複數個心軸線101。
在一些實施例中,使用於半導體結構100中的基底103包括可用來支撐該結構的任何適合的材料。例如基底103可包括矽、矽化鍺(silicon germanium,SiGe)、碳化矽(silicon carbide)、矽覆絕緣(silicon on insulator,SOI)、鍺覆絕緣(germanium on insulator,GOI)、玻璃、氮化鎵(gallium nitride)、砷化鎵(gallium arsenide)等及/或上述之組合。在一些實施例中,基底103可另 包括一些半導體元件,例如金屬氧化物半導體場效應電晶體(MOSFET)、二極體、電阻、電容及電感等,製作於此處所述之半導體材料之上。
絕緣層104設置於基底103之上,且可以包括氧化矽、氮化矽、氮氧化矽及/或高介電常數(high-k)之介電膜,例如氧化鉿(hafnium oxide)、氧化鋯(zirconium oxide)、氧化鋁(aluminum oxide)、氧化鉭(tantalum oxide)、氧化鎂(magnesium oxide)或氧化鑭(lanthanum oxide)膜及/或上述之組合。設置絕緣層104的方法可使用例如化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、電漿增強(plasma-enhanced)化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、高密度電漿(high density plasma,HDP)化學氣相沉積、快速加熱化學氣相沉積(rapid thermal chemical vapor deposition,RTCVD)、金屬有機化學氣相沉積(metal organic chemical vapor deposition,MOCVD)、原子層沉積(atomic layer deposition,ALD)、濺鍍、熱氧化或熱氮化、使用爐系統(furnace system)的CVD製程、任何其他適合的沉積方法及/或上述的組合。
第一介電層106設置在絕緣層104之上,且可包括任何不同於絕緣層104的合適絕緣材料,例如氧化矽、氮化矽、氮氧化矽、TEOS、旋塗式玻璃(spin-on-glass,SOG)、經硼或磷摻雜之氧化矽等以及/或上述之組合。第一介電層106之形成可包括任何合適的沉積方法,例如CVD、PVD、PECVD、LPCVD、RTCVD、HDPCVD、使用爐系統的CVD、濺鍍、旋轉塗佈等,以及/或上述之組合。在一些實施例中,第一介電層106的形成還可包括一平坦化製程,例如化學機械研磨(chemical mechanical polishing,CMP),以形成一平坦表面。
心軸線101的形成包括在第一介電層106之上設置一犧牲材料以及圖案化該犧牲材料。使用於心軸線101的犧牲材料可以是任何合適的介電材料,例如氧化矽、氮化矽、氮氧化矽以及/或上述的組合。使用於心軸線101的犧牲材料 也可以是任何合適的半導體材料,例如非晶或多晶矽或矽化鍺(silicon germanium)。犧牲材料的設置所包括的技術例如CVD、PVD、PECVD、LPCVD、RTCVD、HDPCVD、使用爐系統的CVD、濺鍍、旋轉塗佈等,以及/或上述的組合。犧牲材料包括範圍從10nm至1000nm之間的厚度“H”。犧牲材料的厚度“H”決定了心軸線101的高度。
上述圖案化犧牲材料以形成複數個心軸線101的步驟包括一微影製程以及接著的一蝕刻製程,其中微影製程以及蝕刻製程為相關領域技術人員所熟知者並完整包含於此。微影製程可包括使用短波長光,例如248nm或193nm的深紫外光(deep ultraviolet)。微影製程也可包括193nm浸入製程(immersion process)。微影製程還可包括相位移光罩(phase-shifting photomask)及/或具有光學鄰近修正(optical proximity correction)的光罩。在光阻之外,還可使用抗反射塗佈以改善微影品質並降低最小特徵尺寸。對犧牲材料之蝕刻還可在光阻之外另包括一硬遮罩。硬遮罩可以為另一聚合物、一介電材料或上述之組合。蝕刻製程可包括一乾蝕刻,例如反應性離子蝕刻(reactive-ion-etching,RIE)。蝕製程還可包括在蝕刻犧牲材料之前先進行一修整(trimming)步驟(例如橫向蝕刻光阻及/或硬遮罩),以進一步縮小心軸線101的寬度“a1”。在一些實施例中,心軸線101包括一垂直的側壁,亦即垂直於基底103的頂面。垂直的側壁提供了在多條密集線的圖案化製程中能對心軸線101的寬度“a1”、間隔“b1”以及間距“p1”做尺寸控制的優點。
第2圖為根據一些實施例所繪示的一示例性半導體結構200的俯視示意圖(上部)以及剖視示意圖(下部),其中半導體結構200具有一組數量2N的不連續心軸線對212,其中N為任意整數。為了簡化起見,本揭露的第2圖至第9A圖繪示出二個不連續心軸線對212(也就是N=1)。不連續心軸線對212包括兩個端面212e,其中在兩個端面212e之間的距離包括具有寬度尺寸“g”的間隙(gap)。
不連續心軸線對212的形成包括使用一第一遮罩(未繪示not shown)暴露出數量2N的相鄰心軸線101的一部分,移除數量2N的心軸線的該暴露部分,形成數量2N的不連續心軸線對212,以及形成具有數個間隔線(space line)216與一間隔通道(space channel)218的數量N的交叉處(intersection)214,其中間隔線216平行於心軸線101,並且間隔通道218垂直於心軸線101。暴露並移除部分數量2N的相鄰心軸線101可使用相似於對心軸線101進行圖案化製程的技術,包括類似的微影製程以及接著類似的蝕刻製程。用來形成數量2N的不連續心軸線212的第一遮罩上之設計特徵可具有寬度“g”與長度“2N(b1+a1)”。因此,在第一遮罩以及半導體結構200之間的微影對準可以具有約“b1/2”的容許誤差。
在一些實施例中,半導體結構200可具有一或多組不連續心軸線對212,並且該一或多組可包括不同數量之不連續心軸線對212。
半導體結構200沿著剖面線102的剖示圖顯示在第2圖中,其中在不連續心軸線對212的位置處移除了數量2N的心軸線101的一部分。
第3圖為根據一些實施例所繪示的一示例性半導體結構300的俯視示意圖(上部)以及剖視示意圖(下部),其中第二介電層320是設置在半導體結構200(第2圖)上,覆蓋半導體結構200的所有表面。
第二介電層320可包括任何不同於心軸線101的合適介電材料,例如氧化矽、氮化矽、氮氧化矽、high-k介電膜,例如氧化鉿、氧化鋯、氧化鋁、氧化鉭、氧化鎂或氧化鑭等膜,以及/或上述的組合。設置第二介電層320所使用的技術可例如CVD、PVD、PECVD、LPCVD、HDP-CVD、RTCVD、MOCVD、ALD、濺鍍、熱氧化或熱氮化、使用爐系統的CVD以及/或上述的組合。
第二介電層320包括在心軸線101與不連續心軸線對212之側壁上的厚度“d1”。第二介電層320的厚度“d1”可依心軸線101與不連續心軸線對212的側壁輪廓而定,及/或依第二介電層320的沉積技術而定。第二介電層320也可包括在 不連續心軸線對212的端面212e上的厚度“d2”。第二介電層320還包括在水平面(例如心軸線101、不連續心軸線對212以及第一介電層106的頂面)上的厚度“d3”。在心軸線101以及不連續心軸線對212的側壁上的厚度“d1”可以相同於厚度“d3”以及“d2”,並且,在此例子中,介電側壁子“共形(conformal)”於心軸線101和不連續心軸線對212。在一些實施例中,厚度“d1”或“d2”也可大於或小於厚度“d3”。在某些實施例中,第二介電層320的高度相等於心軸線101或不連續心軸線對212之高度“H”,其中高度“H”可大於厚度“d3”。在一些實施例中,第二介電層320的厚度“d1”可小於間隔“b1”的一半尺寸,使得第二介電層320不會中斷兩個相鄰心軸線101或不連續心軸線對212之間的間隔。在一些實施例中,第二介電層320的厚度“d2”也可以小於間隙“g”的一半尺寸,使得第二介電層320不會中斷位在交叉處214的間隔通道218。
第4圖為根據一些實施例所繪示的一示例性半導體結構400的俯視示意圖(上部)以及剖視示意圖(下部),其中介電側壁子422形成在心軸線101的側壁上,且介電側壁子424形成在不連續心軸線對212。半導體結構400還包括形成在不連續心軸線對212之端面212e上的介電側壁子424e。介電側壁子422/424/424e的形成包括非等向性蝕刻製程,例如RIE。在一些實施例中,第二介電層320可以為氮化矽。在此例子中,RIE製程包括例如O2/N2/CF4、NF3、CHF3、C4F8以及/或上述組合之蝕刻劑。非等向性RIE可包括低壓電漿系統(low-pressure plasma system),以增加離子的平均自由路徑並減少隨機散射。在非等向性蝕刻期間,離子在垂直於基底103的一垂直方向上轟擊半導體結構400。在一些實施例中,第二介電層320的高度“H”(示於第3圖)可大於在水平面(例如心軸線101、不連續心軸線對212以及第一介電層106)上的厚度“d3”。因此,在水平面上的第二介電層320可以被移除,而留下在心軸線101、不連續心軸線對212以及端面212e之側壁上的第二介電層320,形成具有厚度“d4”的介電側壁子422/424以及具 有厚度“d5”的介電側壁子424e。在一些實施例中,第二介電層的厚度“d1”可相同於第3圖的厚度“d2”。在此例子中,介電側壁子422/424的厚度“d4”可相同於介電側壁子424e的厚度“d5”。
第5圖為根據一些實施例所繪示的一示例性半導體結構500的俯視示意圖(上部)以及剖視示意圖(下部)。半導體結構500的形成包括從半導體結構400(示於第4圖)移除心軸線101以及不連續心軸線對212的犧牲材料,留下獨立的介電側壁子522以及524/524e(也意指側壁子遮罩522/524/524e)。側壁子遮罩522意指沿著心軸線101形成的獨立介電側壁子,也意指介電側壁子線。側壁子遮罩524/524e意指沿著不連續心軸線對212形成的獨立介電側壁子,也意指不連續介電側壁子線以及介電側壁子橫條(cross-bar),其中側壁子遮罩524以及524e分別平行與垂直於側壁子遮罩522。
移除心軸線101以及不連續心軸線對212的犧牲材料包括任何對介電側壁子422/424/424e(第4圖)具有選擇性之合適的乾蝕刻或濕蝕刻製程,例如對犧牲材料以高於蝕刻介電側壁子422/424/424e的高蝕刻率進行蝕刻。在一些實施例中,介電側壁子422可以為氮化矽,而心軸線101以及不連續心軸線對212可以為氧化矽。在此例子中,心軸線101以及不連續心軸線對212的犧牲材料可以使用濕式化學作用來移除,例如使用氫氟酸(hydrofluoric acid,HF)或緩衝氧化物蝕刻劑(buffered oxide etchant,BOE)。犧牲材料也可以使用CF4、CHF3、C2F6或C3F6等之RIE來移除。
在一些實施例中,半導體結構500包括具有寬度“d6”的側壁子遮罩522。半導體結構500還包括在平行於側壁子遮罩522之方向上具有寬度“d6”的側壁子遮罩524。半導體結構500還包括在垂直於側壁子遮罩522之方向上具有寬度“d7”的側壁子遮罩524e。側壁子遮罩522/524/524e的寬度“d6”以及“d7”分別依據介電側壁子厚度“d4”以及“d5”而定。在一些實施例中,寬度“d4”可以與寬度“d5”具有 相同數值。在此例子中,寬度“d6”可以與寬度“d7”具有相同數值。
在形成半導體結構500後,在兩個相鄰側壁子遮罩522之間的間隔包括一間隔“c6”,其中“c6=b6-2d6”,亦即為間隔“b6”減去兩倍側壁子遮罩之寬度“d6”的尺寸。在兩個相鄰側壁子遮罩522之間也包括一間隔“a6”,其中“a6”是根據心軸線101(示於第1圖)的寬度“a1”而定。側壁子遮罩522的間距包括間距“p6”或間距“p7”。間距“p6”意指寬度“a6”以及寬度“d6”的總和,也就是“p6=a6+d6”。間距“p7”意指間隔“c6”以及寬度“d6”的總和,也就是“p7=c6+d6”。間距“p6”以及間距“p7”的總和相等於“a6”以及“b6”的總和,也就是“p7+p6=a6+d6+c6+d6=a6+b6”,其相同於心軸線101的間距“p1”,其中間距“p1”意指寬度“a1”以及寬度“b1”的總和,也就是“p1=a1+b1”。因此,藉由上述的製程(例如犧牲性心軸與以及側壁子之製作),心軸線101(示於第1圖)的大間距“p1”可以被劃分成較小的間距“p6”以及“p7”(示於第5圖)。使用側壁子影像轉移,可以經由具有較大間距的心軸得到具有小間距的密集線。
在一些實施例中,側壁子遮罩522/524可具有固定間距。固定間距意指間距“p6”以及“p7”具有相同數值的情況,可以經由佈局設計及/或製程處理而形成相等的間距“p6”與間距“p7”。在一些實施例中,間隔“a6”以及“c6”可具有相同尺寸,其中“a6”可依據心軸線101的寬度“a1”而定,而“c6”可依據“b6-2d6”而定,例如心軸線101的間隔以及側壁子遮罩522的寬度。在此例子中,定義為“p6=a6+d6”的間距“p6”可以相同於定義為“p7=c6+d6”的間距“p7”。
在一些實施例中,側壁子遮罩522/524可具有相等的線寬d6以及間隔a6與c6,也具有固定的間距p6/p7。在此例子中,“d6=a6=c6”。由於定義c6=b6-2d6,因此b6=3d6=3a6。換句話說,側壁子遮罩522/524的寬度d6以及間隔a6可以為心軸線101的尺寸“b6”的三分之一。由於間隔a6以及尺寸b6可依據第1圖中之心軸線101的寬度a1以及間隔b1而決定,在此例子中,心軸線101的寬度“a1”可以為間隔“b1” 的三分之一。舉例而言,“a1”或“a6”可以設計為20nm,並且“b1”或“b6”可設計為60nm,而在側壁子遮罩形成後,“d6”也可選擇為20nm。在此例子中,間隔“c6”可以為“c6=b6-2d6=20nm”。因此,半導體結構500可以具有20nm的相同線寬與間隔,並具有40nm的固定間距p6以及p7。在此例子中,用來形成兩個不連續心軸線212的第一遮罩上的設計特徵可以具有160nm之長度,並且第一遮罩與半導體結構200之間的微影對準可具有約30nm的容許誤差。
本揭露的半導體結構可包括例如由微影、蝕刻及/或沉積所造成的製程變異,所以特徵尺寸(例如寬度、間隔、間距及/或厚度)可以不同於此處所述之尺寸。這些尺寸之間的關係與等式可以相應修改。此些調整為相關領域技術人員所熟知,為簡化說明在此省略之。
由於側壁子遮罩522形成在心軸線101的側壁上,側壁子遮罩522可以為心軸線101數量的兩倍。在一些實施例中,半導體結構200(第2圖)包括一或多組的不連續心軸線對212,其中一組包括數量2N的不連續心軸線對212(N可以為任意整數)。因此,半導體結構400(第4圖)包括數量4N的介電側壁子424以及數量4N的介電側壁子424e。據此,半導體結構500包括數量4N的側壁子遮罩524以及數量4N的側壁子遮罩524e。在第2至5圖中,為了說明之目的,N設定為1。
半導體結構500還包括具有間隔線516與間隔通道518的數量N的交叉數514,其中間隔線516平行於側壁子遮罩524,而間隔通道518垂直於側壁子遮罩524。
在一些實施例中,側壁子遮罩524(平行於側壁子遮罩522)還可具有寬度“d6”以及間隔“a6”。間隔線516可具有相近於間隔“c6”的尺寸。對於側壁子遮罩522而言,側壁子遮罩524也包括相近的間距“p6”與“p7”。
形成於不連續心軸線對212之端面212e上的側壁子遮罩524e(介電側壁子橫條)包括寬度“d7”,因此間隔通道518可具有描述為“g-2d7”的一寬度,亦 即間隙“g”減去兩倍的側壁子遮罩524e之寬度“d7”。
第6圖為根據一些實施例所繪示的一示例性半導體結構600的俯視示意圖(上部)以及剖視示意圖(下部/左側)。半導體結構600包括使用一第二遮罩(圖未示)的一或多組阻擋區(blocked region)630,其中各組的形成包括在數量N的交叉處514(示於第5圖,其中N=1)上設置第二遮罩,形成數量N的阻擋區630並斷開對應的間隔線516與間隔通道518。阻擋區630包括在垂直於側壁子遮罩522之方向上所量測的一寬度“s”。阻擋區630還包括在平行於側壁子遮罩522之方向上所量測的一長度“t”。由第二遮罩形成的阻擋區630沿著側壁子遮罩522的方向延伸,並包括與交叉處514之間隔線516相鄰的各側壁子遮罩524J之至少一部分。在一些實施例中,由第二遮罩所形成的阻擋區630包括大於間隙“g”的長度“t”。在一些實施例中,由第二遮罩所形成的阻擋區630包括大於間隔“c6”的寬度“s”。由第二遮罩所形成的阻擋區630還包括可小於尺寸“b6”的寬度“s”。在一些實施例中,第二遮罩(或阻擋區)的對準容許誤差可以小於側壁子遮罩524之寬度“d6”的一半,使得阻擋區630不會覆蓋相鄰的間隔“a6”。在一些實施例中,第二遮罩(或阻擋區)的對準容許誤差可以小於尺寸
Figure 107138803-A0305-02-0020-14
,使得阻擋區630不會覆蓋與側壁子遮罩524相鄰的間隔631。舉例而言,若a6=d6=c6=20nm且b6=60nm,則阻擋區630的寬度“s”可以設計為40nm。第二遮罩的微影對準容許誤差可以鎖定為10nm以截斷間隔線516,但不會額外截斷相鄰的間隔“a6”。第二遮罩的微影對準容許誤差也可為約50nm,以更進一步截斷兩相鄰的間隔“a6”,但不會覆蓋間隔631。
第6圖也顯示了沿著剖面線102以及剖面線632的剖示結構,其中在沿著剖面線102的剖示圖中所看到的阻擋區630之寬度為寬度“s”,而在沿著剖面線632的剖示圖中所看到的阻擋區630(和側壁子遮罩524一起)的寬度可以小於尺寸“b6”。用於製作阻擋區630的第二遮罩可為一光阻或一硬遮罩,例如氧化矽、 氮化矽或聚合物,並且可以使用類似於製作心軸線101的微影及/或濺鍍製程。
第7圖為根據一些實施例所繪示的一示例性半導體結構700的俯視示意圖(上部)以及剖視示意圖(下部/左側)。半導體結構700的製作包括形成複數個開口740,開口740延伸穿過第一介電層106並連接於側壁子遮罩522/524/524e和由第二遮罩形成的阻擋區630。在沿著剖面線102以及剖面線632的剖示圖中,開口740延伸穿過第一介電層106並暴露出絕緣層104的至少一部分。開口740的形成包括乾蝕刻,例如RIE。在一些實施例中,第一介電層106可以為氧化矽,而第一介電層106的蝕刻可包括使用CF4、CHF3、C2F6或C3F6等的RIE。在一些實施例中,絕緣層104可以為氮化矽。在此例子中,絕緣層104可以當作製作開口740時的蝕刻停止層,其中絕緣層104的蝕刻率可低於第一介電層106的蝕刻率。
第8圖為根據一些實施例所繪示的一示例性半導體結構800的俯視示意圖(上部)以及剖視示意圖(下部/左側),其中側壁子遮罩522/524/524e與第二遮罩已被移除且暴露出第一介電層的頂面。半導體結構800包括對應於第7圖中之開口740的複數個開口840。側壁子遮罩522/524/524e以及阻擋區630的圖案可以相近的尺寸被轉移至第一介電層106,其中第一介電層106的尺寸也可表示為間隔“a6”與“c6”、寬度“d6”以及“s”以及尺寸“b6”以及“t”。如前所述,為了簡化說明,在此省略由於製程變異所造成的尺寸變化,並且尺寸變化可以包含於由相關領域技術人員所進行的修改。
第9A圖為根據一些實施例所繪示的一示例性半導體結構900(N=1)的俯視示意圖(上部)以及剖視示意圖(下部/左側)。第9B圖為根據一些實施例所繪示的一示例性半導體結構900(N=2)的俯視示意圖。半導體結構900以及半導體結構901的製作包括在開口840(示於第8圖)中設置一目標材料並形成目標線(objective line)950以及不連續線對960,其中目標線950與不連續線對960 所具有的頂面950s和第一介電層106的頂面106s共平面,形成共平面的方法包括一平坦化製程,例如化學機械研磨。在一些實施例中,半導體結構900/901的絕緣層104可包括一或多個與目標線950/960接觸的導電結構。在此例子中,半導體結構950/960可以為金屬層M2(第二金屬層),而設置在絕緣層104中的上述導電結構可以為金屬層M1(第一金屬層)及/或連通柱(connecting via)。
製作目標線950/960的目標材料可包括半導體或導體。導體可包括鎢、鈷、銅或鋁。半導體可包括摻雜或未摻雜的矽、矽化鍺、多晶矽、多晶矽鍺、非晶矽或非晶矽鍺。
在一些實施例中,半導體結構900/901包括形成在第一介電層106中的複數個目標線950。半導體結構900/901也包括一或多個分裂區(disruptive region)955,其中一個分裂區955包括數量3N的不連續線對960/960s。在第9A圖中繪示出N=1的示例性半導體結構900,而第9B圖繪示出N=2的示例性半導體結構901。半導體結構900/901還包括2N個橫條(bar),其中各橫條分別與相鄰於分裂區955的目標線950J垂直相交。分裂區955包括與目標線950相似的目標材料以及結構,例如與第一介電層106共平面。
在一些實施例中,目標線950的數量為心軸線101的兩倍。相較於第6圖以及第7圖中的半導體結構600以及半導體結構700,半導體結構900具有看起來“相反”的圖案,例如第6圖以及第7圖中的半導體結構600/700的線(側壁子遮罩522/524/524e)變成了間隔(第9A圖以及第9B圖的第一介電層106),而間隔(間隔“a6”以及“c6”)變成了線(第9A圖以及第9B圖的目標線950以及不連續線對960)。換句話說,目標線950以及不連續線對960的線寬可相同於間隔“a6”及/或“c6”。目標線950以及不連續線對960也具有可相同於間距“p6”或間距“p7”的間距,其中在前文所述的情況下,間距“p6”可相等於間距“p7”。與心軸線101的間距“p1”相較,目標線950以及不連續線對960可以具有相近的間距of “p6”以及“p7”。 在一些實施例中,目標線950以及不連續線對960所包括的寬度“a6”或“c6”的範圍為約5nm至40nm之間、約10nm至約35nm之間、約15nm至約30nm之間、約19nm至約29nm之間等,而間隔“d6”的範圍為約5nm至40nm之間、約10nm至約35nm之間、約15nm至約30nm之間、約10nm至約20nm之間等。
在分裂區955中,不連續線對960包括一間隙(gap),其中間隙可以為第2圖中的間隙“g”,並可由第一遮罩所決定。在兩個相鄰之不連續線對960之間的距離也具有相近於尺寸“b6”的尺寸,該尺寸可由第一遮罩所決定。最鄰近之兩個橫條之間的距離可由第二遮罩所決定,且可意旨為本文中的寬度“s”。位於兩個不連續線對960之間的不連續線對960s包括一距離“t”,其是由第二遮罩所決定。
請參看回第2圖以及第6圖,使用第一遮罩(第2圖)以及第二遮罩(第6圖)的結合,並且利用自對準雙重圖案微影(self-aligned double patterning,SADP)與經由側壁子圖案轉移而切割出密集線圖案,藉此以形成半導體結構900。使用兩個切割遮罩可以提高微影對準容許誤差或製程寬裕度(process window)。使用單一切割遮罩時,對準容許誤差為間隔“d6”的一半,使得切割圖形不會覆蓋設置到相鄰線上。使用兩個切割遮罩,對準容許誤差可以增加為第一遮罩(第2圖)之心軸線101之間隔“b1”的一半以及第二遮罩(第6圖)之
Figure 107138803-A0305-02-0023-1
使用相似於前述的例子,“a1”或“a6”可以設計為20nm,而“b1”或“b6”可設計為60nm。在此例子中,心軸線101的間距“p1”可以為80nm,而第2圖中用來形成不連續心軸線212的第一遮罩的對準容許誤差可以為30nm。側壁子遮罩的寬度“d6”可以選擇為20nm。因此,在此例子中,間隔“c6”也可以為“c6=b6-2d6=20nm”。半導體結構900可具有20nm之相等的線寬“a6“、“c6”以及間隔“d6”,並具有相等於p7的40nm之間距p6。第二遮罩的對準容許誤差可以為50nm。使用 20nm線寬/20nm線距的單一切割遮罩時,微影製程的對準容許誤差可小至10nm。因此,使用兩個切割遮罩可以大幅改善製程寬裕度。
第10圖為根據一些實施例所繪示之使用自對準雙重圖案微影的切割密集線之示例性方法。方法1000的製程步驟可用來製作第1至9B圖的半導體結構。示於方法1000的製程步驟不是最詳盡(exhaustive)的,並且其他製程步驟也可以在所示出的任何製程步驟之前、之後或之間執行。在一些實施例中,為了簡單起見,可以省略示例性方法1000的一些處理步驟,或者可以添加這裡未描述的其他處理步驟。在一些實施例中,方法1000的處理步驟可以以不同的順序和/或變化來執行。
在製程步驟1010中,在一基底上設置一絕緣層,並且在絕緣層上設置一第一介電層。基底可以為第1圖的基底103。類似的,絕緣層可以為絕緣層104,以及第一介電層可以為第一介電層106。基底、絕緣層以及第一介電層可分別包括類似於基底103、絕緣層104以及第一介電層106的材料,並可使用類似的技術來設置。第一介電層可包括氮化矽、氧化矽、氮氧化矽或上述之組合。
同樣在製程步驟1010中,設置犧牲材料在第一介電層之上。犧牲材料可以為用來製作第1圖中心軸線101之犧牲材料。然後圖案化犧牲材料以在第一介電層上形成複數個心軸線。圖案化製程包括微影以及蝕刻。
在製程步驟1020中,使用一第一遮罩而形成一或多組不連續心軸線對。不連續心軸線可以為第2圖中的不連續心軸線212。一組不連續心軸線對可包括數量2N的不連續心軸線對以及具有間隔線與間隔通道的數量N的交叉處,其中N可以為任意整數。一組不連續心軸線對之形成包括使用一第一遮罩以暴露出數量2N的相鄰心軸線的一部分,移除數量2N的心軸線的被暴露部分,以及形成平行於心軸線的間隔線以及垂直於心軸線的間隔通道。
在製程步驟1030中,在心軸線以及不連續心軸線對上設置第二介電 層。第二介電層可以為第3圖中的第二介電層320,並可包括類似的材料以及可藉由類似技術來設置。第二介電層可包括氮化矽、氧化矽、氮氧化矽或上述之組合。
在製程步驟1040中,在心軸線以及不連續心軸線對的側壁上形成介電側壁子,其中介電側壁子可以為第4圖中的介電側壁子422/424,並且可使用類似技術來形成。
在製程步驟1050中,移除心軸線以及不連續心軸線對以形成獨立的介電側壁子或側壁子遮罩。側壁子遮罩可以為第5圖中的側壁子遮罩522/524,並且可以使用類似技術來形成。
在製程步驟1060中,使用一第二遮罩來形成一或多組阻擋區。阻擋區可以為第6圖中的阻擋區630,並可使用類似技術來形成。使用第二遮罩以形成一組阻擋區的方法包括在間隔線與間隔通道之數量N的交叉處上設置第二遮罩,以及以阻擋區使間隔線和間隔通道斷開。藉由第二遮罩所製作的阻擋區沿著側壁子遮罩的方向延伸,並且包括相鄰於交叉處之間隔線的各側壁子遮罩之至少一部分。藉由第二遮罩形成之阻擋區還包括不大於兩相鄰心軸線之間距離的一寬度。藉由第二遮罩形成的阻擋區還可包括不大於一或多個不連續側壁子遮罩之間距離的一寬度。
在製程步驟1070中,在與側壁子遮罩以及第二遮罩相接的第一介電層中形成開口。開口可以為第7圖中的開口740,並可使用類似技術來形成。
在製程步驟1080中,移除側壁子遮罩以及第二遮罩以暴露出第一介電層的頂面。該結構類似於第8圖中的結構800。
在製程步驟1090中,在開口中設置目標材料並且形成頂面與第一介電層之頂面共平面的目標線。目標線類似於第9A以及9B圖中的目標線950,並可使用類似技術來形成。目標材料可包括半導體或導體。導體包括鎢、鈷、銅或 鋁。半導體包括矽、矽化鍺、多晶矽、多晶矽鍺、非晶矽或非晶矽鍺。
在開口中形成頂面與第一介電層之頂面共平面的目標線的方法包括一平坦化製程,例如化學機械研磨。
目標線具有一寬度與一間隔,該寬度的範圍在約5nm至40nm之間、約10nm至約35nm之間、約15nm至約30nm之間、約19nm至約29nm之間等,而該間隔的範圍在約5nm to 40nm之間、約10nm至約35nm之間、約15nm至約30nm之間、約10nm至約20nm之間等。
在一些實施例中,用來形成一半導體結構的方法包括在一基底上設置一絕緣層,以及在絕緣層之上設置一第一介電層。該方法還包括在第一介電層上形成複數個心軸線。該方法還包括以一第一遮罩形成一或多組不連續心軸線對,其中各該一或多組包括數量2N的不連續心軸線對以及具有間隔線與間隔通道之數量N的交叉處,其中N為一整數。該方法還包括在心軸線以及不連續心軸線對上設置一第二介電層,在心軸線以及不連續心軸線對的側壁上形成介電側壁子,以及移除心軸線以及不連續心軸線對以形成側壁子遮罩。該方法還包括使用一第二遮罩以形成一或多組阻擋區,利用側壁子遮罩與第二遮罩的結合而形成延伸穿過第一介電層之開口,以及移除側壁子遮罩以及第二遮罩以暴露出第一介電層的頂面。該方法還包括在開口中設置一目標材料以及形成目標線,其頂面與第一介電層之頂面共平面。
在一些實施例中,半導體結構包括形成於一第一介電層中之複數個目標線以及一或多個分裂區,其中各該一或多個分裂區包括數量3N的不連續線對以及2N橫條,分別垂直相交於相鄰分裂區的目標線,其中N為一整數。
以上對具體實施例的描述將充分揭示本揭露內容的一般性質,其他人可以通過應用相關領域技術範圍內的知識,輕易地將特定實施例調整及/或修改於各種應用,而無需過度實驗與背離本揭露內容的一般概念。因此,基於這 裡給出的教導及指導,這樣的修改及調整仍應屬於本揭露的實施例的均等意涵及範圍內。應該理解的是,本文中的措辭或術語是為了描述的目的而非限制的目的,使得本說明書的術語或措辭將由相關領域技術人員根據教導及指導來解釋。
以上本揭露的實施例已借助於功能構建塊來描述,該功能構建塊示出了特定功能及其關係的實現。為了描述的方便,這些功能構建塊的邊界/範圍在本文中係被任意的定義,在適當地實現所指定的功能及關係時,可以定義出替代邊界/範圍。
發明內容及摘要部分可以闡述出發明人所設想的本揭露的一個或多個的示範性實施例,但並非全部的示範性實施例,並且因此不旨在以任何方式限制本揭露內容及所附權利要求範圍。
本揭露的廣度及範圍不應受上述任何示範性實施例所限制,而應僅根據以下權利要求及其均等物來限定。
900:半導體結構
102、632:剖面線
103:基底
104:絕緣層
106:第一介電層
106s、950s:頂面
a6、b6、c6:間隔
950、950J:目標線
955:分裂區
960、960s:不連續線對
d6、s:寬度
g:間隙(間隙寬度)
p6、p7:間距
t:長度(距離)

Claims (12)

  1. 一種形成一半導體結構的方法,包括:在一基底上設置一絕緣層;在該絕緣層上設置一第一介電層;在該第一介電層上形成複數個心軸線(mandrel line);以一第一遮罩形成一或多組不連續心軸線對(discontinuous mandrel line pair),其中該一個或多個群組的每一個分別包括:數量2N的不連續心軸線對;以及具有多個間隔線(space line)與一間隔通道(space channel)之數量N的交叉處(intersection),其中N為一整數;在該心軸線以及該不連續心軸線對上設置一第二介電層;在該心軸線以及該不連續心軸線對的側壁上形成介電側壁子(dielectric spacer);移除該心軸線以及該不連續心軸線對,以形成側壁子遮罩(mask);使用一第二遮罩以形成一或多組阻擋區(blocked region);利用該側壁子遮罩以及該第二遮罩之結合,形成延伸穿過該第一介電層的多個開口;移除該側壁子遮罩以及該第二遮罩以暴露該第一介電層的頂面;在該等開口內設置一目標材料;以及形成頂面與該第一介電層的頂面共平面的多個目標線。
  2. 如請求項1所述的形成該半導體結構的方法,其中該一或多組不連續 心軸線對還包括:數量2M的不連續心軸線對;以及具有多個間隔線與一間隔通道之數量M的交叉處,其中M為不同於N的一整數。
  3. 如請求項1所述的形成該半導體結構的方法,其中形成一組不連續心軸線對包括:暴露出數量2N的相鄰心軸線的一部分;移除該數量2N的相鄰心軸線的該暴露部分;以及形成與該心軸線平行的該等間隔線以及垂直於該心軸線的該間隔通道。
  4. 如請求項1所述的形成該半導體結構的方法,其中使用該第二遮罩以形成一組阻擋區包括:在具有該等間隔線以及該間隔通道之該數量N的交叉處上設置該第二遮罩;以及以該等阻擋區斷開該等間隔線以及該間隔通道。
  5. 如請求項1所述的形成該半導體結構的方法,其中藉由該第二遮罩而形成的該阻擋區係形成為沿著該側壁子遮罩的方向延伸,並包括與該等交叉處之各該間隔線相鄰的各該側壁子遮罩之至少一部分。
  6. 如請求項5所述的形成該半導體結構的方法,其中藉由該第二遮罩而形成的該阻擋區係形成為包括不大於兩相鄰心軸線之間距離的寬度。
  7. 如請求項1所述的形成該半導體結構的方法,其中該第一介電層以及第二介電層係形成為包括氮化矽、氧化矽、氮氧化矽或上述之組合。
  8. 如請求項1所述的形成該半導體結構的方法,其中該目標材料係形成為包括一半導體或一導體。
  9. 如請求項8所述的形成該半導體結構的方法,其中該導體係形成為包括鎢(tungsten)、鈷(cobalt)、銅(copper)或鋁(aluminum)。
  10. 如請求項8所述的形成該半導體結構的方法,其中該半導體係形成為包括矽、矽化鍺(silicon germanium)、多晶矽、多晶矽鍺、非晶矽或非晶矽鍺。
  11. 如請求項1所述的形成該半導體結構的方法,其中該等目標線是形成為包括範圍在19nm與29nm之間的寬度。
  12. 如請求項1所述的形成該半導體結構的方法,其中該等目標線是形成為包括範圍在10nm與20nm之間的間隔。
TW107138803A 2017-11-23 2018-11-01 使用自對準雙圖案化以切割密集線圖案的方法及結構 TWI697103B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
CN201711183484.8 2017-11-23
??201711183484.8 2017-11-23
CN201711183484.8A CN107968047A (zh) 2017-11-23 2017-11-23 一种sadp页缓冲器切断方法及结构
??PCT/CN2018/111834 2018-10-25
PCT/CN2018/111834 WO2019100899A1 (en) 2017-11-23 2018-10-25 Method and structure for cutting dense line patterns using self-aligned double patterning
WOPCT/CN2018/111834 2018-10-25

Publications (2)

Publication Number Publication Date
TW201937707A TW201937707A (zh) 2019-09-16
TWI697103B true TWI697103B (zh) 2020-06-21

Family

ID=62001605

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107138803A TWI697103B (zh) 2017-11-23 2018-11-01 使用自對準雙圖案化以切割密集線圖案的方法及結構

Country Status (4)

Country Link
US (2) US11251043B2 (zh)
CN (2) CN107968047A (zh)
TW (1) TWI697103B (zh)
WO (1) WO2019100899A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112151498B (zh) * 2019-06-28 2023-03-24 中芯国际集成电路制造(上海)有限公司 一种互连线的形成方法
CN115377111A (zh) * 2019-09-03 2022-11-22 长江存储科技有限责任公司 一种半导体器件及其制作方法
US11676821B2 (en) 2019-10-29 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning
DE102020123934A1 (de) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selbstausgerichtete doppelstrukturierung
US11189527B2 (en) 2020-03-23 2021-11-30 International Business Machines Corporation Self-aligned top vias over metal lines formed by a damascene process
CN112951712B (zh) * 2021-01-29 2023-06-27 长鑫存储技术有限公司 集成电路结构的形成方法
TWI767844B (zh) * 2021-09-29 2022-06-11 華邦電子股份有限公司 半導體結構及其形成方法
US20230138978A1 (en) * 2021-11-01 2023-05-04 International Business Machines Corporation Structure and method to pattern pitch lines

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8759224B2 (en) * 2010-08-19 2014-06-24 Samsung Electronics Co., Ltd. Method of forming a pattern structure for a semiconductor device
JP5667240B2 (ja) * 2007-06-15 2015-02-12 三星電子株式会社Samsung Electronics Co.,Ltd. 半導体素子の製造方法
US20170221760A1 (en) * 2016-02-02 2017-08-03 Tokyo Electron Limited Self-Alignment of Metal and Via Using Selective Deposition
US9741615B1 (en) * 2016-08-22 2017-08-22 Globalfoundries Inc. Contacts for a fin-type field-effect transistor
US9818875B1 (en) * 2016-10-17 2017-11-14 International Business Machines Corporation Approach to minimization of strain loss in strained fin field effect transistors

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5132098B2 (ja) * 2006-07-18 2013-01-30 株式会社東芝 半導体装置
KR101471857B1 (ko) * 2008-11-17 2014-12-11 삼성전자주식회사 반도체 장치 및 상기 반도체 장치의 레이아웃 방법
US8637982B2 (en) * 2012-04-18 2014-01-28 Sandisk Technologies Inc. Split loop cut pattern for spacer process
TWI487004B (zh) 2013-03-01 2015-06-01 Winbond Electronics Corp 圖案化的方法及記憶體元件的形成方法
JP2014229694A (ja) * 2013-05-21 2014-12-08 株式会社東芝 半導体装置およびその製造方法
CN103474339B (zh) * 2013-09-22 2016-01-06 上海华力微电子有限公司 制作高均匀度栅极线条的方法
US9406511B2 (en) 2014-07-10 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double patterning
WO2016134954A1 (en) * 2015-02-23 2016-09-01 Asml Netherlands B.V. Device manufacturing method and patterning devices for use in device manufacturing method
US9472447B1 (en) * 2015-12-17 2016-10-18 International Business Machines Corporation Confined eptaxial growth for continued pitch scaling
US10483202B2 (en) * 2016-02-22 2019-11-19 Toshiba Memory Corporation Semiconductor device having a wiring line with an end portion having rounded side surfaces and manufacturing method thereof
US10727056B2 (en) 2017-11-23 2020-07-28 Yangtze Memory Technologies Co., Ltd. Method and structure for cutting dense line patterns using self-aligned double patterning

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5667240B2 (ja) * 2007-06-15 2015-02-12 三星電子株式会社Samsung Electronics Co.,Ltd. 半導体素子の製造方法
US8759224B2 (en) * 2010-08-19 2014-06-24 Samsung Electronics Co., Ltd. Method of forming a pattern structure for a semiconductor device
US20170221760A1 (en) * 2016-02-02 2017-08-03 Tokyo Electron Limited Self-Alignment of Metal and Via Using Selective Deposition
US9741615B1 (en) * 2016-08-22 2017-08-22 Globalfoundries Inc. Contacts for a fin-type field-effect transistor
US9818875B1 (en) * 2016-10-17 2017-11-14 International Business Machines Corporation Approach to minimization of strain loss in strained fin field effect transistors

Also Published As

Publication number Publication date
US20220130671A1 (en) 2022-04-28
CN110100302B (zh) 2020-11-17
CN107968047A (zh) 2018-04-27
CN110100302A (zh) 2019-08-06
TW201937707A (zh) 2019-09-16
WO2019100899A1 (en) 2019-05-31
US11251043B2 (en) 2022-02-15
US20200321215A1 (en) 2020-10-08

Similar Documents

Publication Publication Date Title
TWI697103B (zh) 使用自對準雙圖案化以切割密集線圖案的方法及結構
US10727056B2 (en) Method and structure for cutting dense line patterns using self-aligned double patterning
CN109786225B (zh) 用于半导体器件的图案化方法和由此产生的结构
KR101449772B1 (ko) 효율적인 피치 멀티플리케이션 프로세스
US20230360923A1 (en) Fabrication of fins using variable spacers
US8338304B2 (en) Methods to reduce the critical dimension of semiconductor devices and related semiconductor devices
US11211255B2 (en) Semiconductor structure
KR102337410B1 (ko) 반도체 소자의 미세 패턴 형성 방법
US9431264B2 (en) Methods of forming integrated circuits and multiple critical dimension self-aligned double patterning processes
US9224617B2 (en) Forming cross-coupled line segments
US20170117150A1 (en) Semiconductor device
US9196623B2 (en) Semiconductor circuit structure and process of making the same
TWI634593B (zh) 形成用於心軸及非心軸互連線之自對準連續性區塊之方法
US9536751B2 (en) Method for forming patterns for semiconductor device
US10510600B1 (en) Shared contact structure and methods for forming the same
US9779944B1 (en) Method and structure for cut material selection
US9034762B2 (en) Triple patterning method
US20080206996A1 (en) Sidewall image transfer processes for forming multiple line-widths
US11557661B2 (en) Method for manufacturing semiconductor device
US10262861B2 (en) Forming a fin cut in a hardmask
KR20090049524A (ko) 스페이서를 이용한 반도체소자의 미세 패턴 형성 방법
TW202147579A (zh) 三維記憶體元件中的階梯結構及其形成方法
TWI579899B (zh) 半導體裝置之製造方法
TW202133340A (zh) 三維記憶體裝置的多分區階梯結構及其形成方法
US10317798B2 (en) Method of forming pattern of semiconductor device