TWI695220B - 相位移光罩、無鉻的相位移光罩及積體電路的製作方法 - Google Patents

相位移光罩、無鉻的相位移光罩及積體電路的製作方法 Download PDF

Info

Publication number
TWI695220B
TWI695220B TW106126525A TW106126525A TWI695220B TW I695220 B TWI695220 B TW I695220B TW 106126525 A TW106126525 A TW 106126525A TW 106126525 A TW106126525 A TW 106126525A TW I695220 B TWI695220 B TW I695220B
Authority
TW
Taiwan
Prior art keywords
light
material layer
transmitting material
phase shift
etch stop
Prior art date
Application number
TW106126525A
Other languages
English (en)
Other versions
TW201820024A (zh
Inventor
林雲躍
李信昌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201820024A publication Critical patent/TW201820024A/zh
Application granted granted Critical
Publication of TWI695220B publication Critical patent/TWI695220B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/34Phase-edge PSM, e.g. chromeless PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明提供相位移光罩,其包括透光基板、透光基板上的蝕刻停止層、以及蝕刻停止層上的可調的透光材料層。可調的透光材料層圖案化以具有開口,其設計以提供相位移並具有大於90%的透光度。

Description

相位移光罩、無鉻的相位移光罩及積體電路的製 作方法
本發明實施例關於半導體結構的形成方法,更特別關於其採用的相位移光罩。
在半導體技術中,光學干擾與其他效應常造成關鍵尺寸的變異。如此一來,對次波長圖案化中較小的結構(特別是是接點孔)之尺寸而言,光罩誤差參數將過高而無法接受。多種技術已用於改善光罩誤差參數,比如採用相位移光罩(如無鉻的相位移光罩)定義電路圖案。在無鉻的相位移光罩中,電路結構定義於透光光罩中,且透明光罩之相鄰的透光區之間具有相位移。如此一來,當成像至半導體基板時,破壞性干涉將產生暗結構。然而習知無鉻的相位移光罩對改善成像品質與其他問題的彈性受限,比如相對於預期相位移的蝕刻製程容忍度。此外,習知無鉻的相位移光罩在形成與使用光罩時,對透光基板的保護有限。如此一來,目前亟需無鉻的相位移光罩結構、其形成方法、與其應用方法以改善上述問題。
本發明一實施例提供之相位移光罩,包括:透光基板;蝕刻停止層,位於透光基板上;以及可調的透光材料層, 位於蝕刻停止層上,且可調的透光材料層圖案化以具有開口,其中蝕刻停止層完全覆蓋開口中的部份透光基板,且可調的透光材料層設計為提供相位移。
B1:第一光束
B2、B2’:第二光束
DBF1、DBF2:最佳焦距變異
W:尺寸
100、200、900、1020:光罩
110:透光基板
120:蝕刻停止層
130:透光材料層
130a、140a:開口
130b:島狀物
130c:次解析度結構
130d:光學鄰近修正結構
140:光阻層
150、210:第一區
160、220:第二區
800、1100:方法
802、804、806、808、810、1102、1104、1106、1108、1110:步驟
910、920:電路結構
1000:微影系統
1010:射線源
1030:半導體基板
1040:照射模組
1050:光罩站點
1060:投射模組
1070:基板站點
1210、1212、1214、1216:資料
第1、2、3、與4圖係一些實施例中,光罩於多種製程階段中的剖視圖。
第5圖係一些實施例中,第4圖之光罩的上視圖。
第6圖係一些實施例中,光罩的剖視圖。
第7圖係一些實施例中,第6圖之光罩的上視圖。
第8圖係一些實施例中,光罩之形成方法的流程圖。
第9圖係一些實施例中,光罩的剖視圖。
第10圖係一些實施例中,微影系統的示意圖。
第11圖係一些實施例中,採用第10圖之微影系統的方法其流程圖。
第12圖係一些實施例中,第10圖之微影系統中採用的光罩之特性資料。
應理解的是,下述內容提供的不同實施例或實例可實施多種實施例的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。此外,本發明之多種例子中可重複標號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相同標號之單元之間具有相同的對應關係。
第1至4圖係本發明一些實施例中,光罩100的剖視圖。第5圖係一些實施例中,第4圖之光罩100的上視圖。第1至5圖描述光罩100與其形成方法。光罩100定義電路圖案於其上,且可在微影製程中以射線(如光射線)將電路圖案轉移至半導體基板。射線可為紫外線及/或其他射線如離子束、X光、極紫外線、深紫外線、或其他合適射線能量。在下述內容中,多種例子可採用光射線。光罩100為相位移光罩,特別是無鉻的相位移光罩。無鉻的相位移光罩上的多種結構可透光射線,且可經由180度的相位移與對應的破壞性干涉,將暗結構與亮結構成像於半導體基板上。光罩100可為無鉻的相位移光罩,其經由相位移而非吸收定義結構。光罩100包含不同區域,其各自具有光學相位。光射線穿過光罩100的不同區域,以產生破壞性干涉並形成暗結構於半導體基板上。然而上述光罩100經由相位移層或額外的衰減層的有限吸收,以增進成像品質如解析度與對比。
如第1圖所示,光罩100可為製作半導體晶圓所用的光罩之部份。光罩100包含透光基板110(對光射線而言為透明),比如熔融石英或熔融二氧化矽(較不具缺陷)、氟化鈣、或其他合適材料。
光罩100包含蝕刻停止層120於透光基板110上。蝕刻停止層120設計以保護透光基板110,使其免於在製作或使用光罩100時損傷。舉例來說,蝕刻停止層120之組成與厚度,設計以有效的阻擋施加至光罩100的蝕刻或清潔製程。蝕刻停止層120位於透光基板110上且未經圖案化,因此其可持續覆蓋透 光基板110的上表面,如第1圖所示。
在一實施例中,蝕刻停止層120為釕膜。在另一實施例中,蝕刻停止層120為氮氧化鉻膜。在一些其他實施例中,蝕刻停止層120包含釕、鉻、鋁、鎢、矽、鈦、上述之氧化物、上述之氮化物、上述之氮氧化物、或上述之組合。蝕刻停止層120的形成方法可包含化學氣相沉積、物理氣相沉積、原子層沉積、旋轉塗佈、其他合適製程、或上述之組合。在一些實施例中,蝕刻停止層120的厚度介於0.1nm至100nm之間。在一些例子中,蝕刻停止層120的厚度可介於1nm至20nm之間。
在一些其他實施例中,蝕刻停止層120設計為讓光射線有限的衰減。蝕刻停止層120的衰減可在微影曝光製程中調整,以增進光罩100的成像。在此實施例中,蝕刻停止層120的組成與厚度設計為讓射線的透光度大於98%。蝕刻停止層120摻雜有合適的摻質,以調整其透光度與抗蝕刻性。在一些實施例中,除了上述的主要組成外,蝕刻停止層120更摻雜有摻質如硼、磷、鈣、鈉、鋁、或上述之組合。舉例來說,蝕刻停止層120之主要組成可為氧化釕,其可進一步摻雜鈣。在另一例中,蝕刻停止層120之組成可為摻雜鈉的氮化鎢。在又一例中,蝕刻停止層可為摻雜硼的氮化鈦。摻雜製程可包含離子佈植或臨場摻雜,比如在化學氣相沉積製程中採用前驅物,其包含具有摻質的化學品。在多種實施例中,蝕刻停止層120中的主要組成占80原子%至100原子%之間。綜上所述,蝕刻停止層120中的摻質占0至20原子%之間。
光罩100包含透光材料層130於蝕刻停止層120 上,且可依據電路設計佈局圖案化。透光材料層130的組成與厚度,設計為使射線產生180度的相位移。更特別的是,透光材料層130的厚度可為約λ/[2(n-1)],其中λ為光微影製程中投射至光罩100上的射線波長,而n為透光材料層130相對於射線的折射率。在其他實施例中,透光材料層130的厚度可為約mλ/[2(n-1)],其中m為奇數。實際上,相位移實質上為180度。換言之,相位移為約180度,比如170度至190度之間。
特別的是,射線實質上可透過透光材料層130,且透光材料層130對射線的吸收有限。藉由選擇透光材料層130的材料,可調整受限的吸收度,進而在採用光罩100的微影曝光製程時增進成像解析度。如此一來,透光材料層130亦可稱作可調的透光材料層。在此實施例中,可調的透光材料層130對射線的透光度大於90%。在進一步的實施例中,透光度可調整為介於90%至99%之間。
在一些實施例中,可調的透光材料層130包含摻雜碳或其他摻質的氧化矽。可改變碳(或其他摻質)的濃度,以調整可調的透光材料層130之透光度。在進一步的實施例中,可改變矽濃度與碳濃度以調整透光度。在一些例子中,可調的透光材料層包含30原子%至60原子%的矽、30原子%至60原子%的氧、以及0至10原子%的碳。可調的透光材料層130可進一步包含添加劑如氮、磷、硼、或上述之組合,其添加方式可為離子佈植、臨場摻雜、或其他合適技術。在一些例子中,可調的透光材料層130包含30原子%至60原子%的矽、30原子%至60原子%的氧、0至10原子%的碳、0至5原子%的氮、0至5原子%的磷、 以及0至5原子%的硼,使可調的透光材料層130具有適當的透光度以達所需的成像解析度。在其他實施例中,可調的透光材料層130如前述,其形成方法可為旋轉塗佈的玻璃、化學氣相沉積、或濺鍍。
在一些實施例中,可調的透光材料層130包含矽酸鹽玻璃以及分散其中的發色團。藉由改變發色團濃度,可調整可調的透光材料層130的透光度。在一些例子中,可調的透光材料層130之形成方法,為旋轉塗佈後進行回火製程(回火溫度可介於130℃至150℃之間)以硬化。在一些實施例中,可調的透光材料層130的形成方法為化學氣相沉積(可採用四乙氧基矽烷(Si(OC2H5)4)),或其他合適製程。
在一些實施例中,可調的透光材料層130包含熔膠-凝膠矽酸鹽膜,其形成方法為溶膠-凝膠製程。在一些例子中,可調的透光材料層130包含氧化矽凝膠,其形成方法為溶膠-凝膠聚合適當前驅物(如四乙氧基矽烷或其他合適的化學品)。在溶膠-凝膠製程中,可採用酸或鹼作為催化劑。在一些實施例中,溶膠-凝膠矽酸鹽膜的形成方法可包含在溶液中聚合四乙氧基矽烷,並搭配催化劑進行溶劑-凝膠轉換。在一些實施例中,溶膠-凝膠矽酸鹽膜的形成方法可包含聚合、水解、與縮合。溶膠-凝膠矽酸鹽膜可經由多種參數調整其透光度。在形成溶膠-凝膠矽酸鹽膜的製程中,可改變多種步驟與參數以調整可調的透光材料層其透光度。舉例來說,可改變聚合時間以調整透光材料層的透光度。
依據電路設計佈局,圖案化可調的透光材料層 130。圖案化可調的透光材料層之方法,可包含微影製程與蝕刻。微影製程包含塗佈(如旋轉塗佈)光阻層140於可調的透光材料層130上,如第1圖所示。在一些實施例中,光阻層140可具有三層結構,比如下方層、下方層上的中間層、以及中間層上的光敏層。在一些實施例中,光阻層140可為化學放大光阻材料,其包含光酸產生劑。在一些實施例中,光阻層140可為正型光阻(顯影劑可移除其曝光部份),或負型光阻(顯影劑可移除其未曝光的部份)。
微影製程亦包含曝光與顯影,以形成第2圖所示之圖案化的光阻層140。圖案化的光阻層140包含一或多個開口140a,且開口140a露出可調的透光材料層130。微影製程亦可包含其他步驟,比如曝光後烘烤。
接著可經由圖案化的光阻層140之開口140a,施加蝕刻製程至可調的透光材料層130。藉由蝕刻製程可圖案化可調的透光材料層130。特別的是,定義於光阻層140中的圖案轉移至可調的透光材料層130,如第3圖所示。舉例來說,蝕刻製程後形成開口130a於可調的透光材料層130中。施加至可調的透光材料層130的蝕刻製程可包含乾蝕刻、濕蝕刻、或上述之組合。舉例來說,蝕刻製程可包含採用氫氟酸作為蝕刻品的濕蝕刻製程。在另一例中,蝕刻製程可包含採用含氟電漿作為蝕刻品的乾蝕刻製程。
在蝕刻製程後,以濕式剝除或電漿灰化移除圖案化的光阻層140,如第4圖所示。在其他實施例中,可採用硬遮罩,因此圖案化的光阻層之圖案先經由第一蝕刻轉移至硬遮 罩,再經由第二蝕刻轉移至可調的透光材料層。由於蝕刻停止層120保護透光基板110,上述蝕刻製程與濕式剝除(或電漿灰化)將不會損傷透光基板110。除此之外,損傷的基板可能造成預料之外的相位移,這會劣化光罩100及採用光罩100之微影曝光製程的成像品質。
光罩100為無鉻的相位移光罩,其以相位移定義電路結構。特別的是在雙重強度光罩中,係以強度差異定義電路結構。換言之,在光罩上的第一區中的電路結構,以及圍繞電路結構的第二區具有不同的透光度。第一區與第二區中的一者透光,而另一者不透光。在其他種類的相位移光罩中,亦採用類似的透光度差異(透光與不透光)定義電路結構,而相位移可增加對比並改善成像品質。在無鉻的相位移光罩中,第一區與第二區均透光。在上述光罩100中,第一區與第二區為透光或實質上透光(透光度大於90%)。如第4圖所示,光罩100包含第一區150與第二區160。第一區150定義於可調的透光材料層130之開口130a中,且不具有可調的透光材料。第二區160為具有可調的透光材料之區域。各自穿過第一區150與第二區160的第一光束與第二光束,具有相位差(實質上為180度)。第一光束與第二光束之間的破壞性干涉將產生對應開口130a的暗區。
在第5圖中,以第一區150定義電路結構。此外,可調的透光材料層130具有可調的透光度,用以增進微影曝光製程的解析度。透光度的調整將詳述於下。第一光束B1穿過第一區150。第二光束B2穿過第二區160,且穿過第二區160的部份第二光束B2’繞射至第一區150。若第一光束B1與部份的第二 光束B2’的強度相同,破壞性干射將使兩者互相抵消,造成開口130a的暗影像(即零強度)。然而第一光束B1與部份的第二光束B2’因為多種因素(比如開口130a的尺寸W)而無法相同。如此一來,調整可調的透光材料層130的透光度,可讓第一光束B1與部份的第二光束B2’之強度匹配。綜上所述,第一光束B1與部份的第二光束B2’之間的破壞性干涉可產生對應開口130a的暗結構,其具有增進的對比與解析度。在沉積透光材料層130之前,即可依據電路設計佈局調整透光度,比如使電路設計佈局的結構尺寸平均化。
在光罩100中,蝕刻停止層120夾設於透光基板110與可調的透光材料層130之間。蝕刻停止層120覆蓋第一區150與第二區160,且由第一區150連續地延伸至第二區160。電路結構定義於可調的透光材料層130之開口130a中。在多種例子中,電路結構可為金屬線路、閘極、或鰭狀主動區。
在一些實施例中,藉由可調的透光材料層之島狀物定義電路結構,如第6與7圖所示。第6圖係一些實施例之光罩200的剖視圖,而第7圖係光罩200的上視圖。用以形成光罩200的方法,與形成光罩100的對應方法類似。舉例來說,方法包含形成蝕刻停止層120於透光基板110上、形成可調的透光材料層130、以及依據電路設計佈局圖案化可調的透光材料層130。蝕刻停止層120與可調的透光材料層130與光罩100中的類似單元,具有類似的形成方法、組成、與相位移。然而可調的透光材料層130被圖案化,因此以可調的透光材料層130的島狀物130b定義電路設計佈局的電路結構。特別的是,光罩200包 含第一區210與第二區220。第一區210定義於可調的透光材料層130之島狀物130b中。第二區220不具有可調的透光材料。各自穿過第一區與第二區的射線具有相位移。電路結構定義於第一區210中。與光罩100類似,蝕刻停止層120夾設於透光基板110與可調的透光材料層130之間。此外,蝕刻停止層120覆蓋第一區210與第二區220,並自第一區210連續地延伸至第二區220。在多種例子中,電路結構可為金屬線路、閘極、或鰭狀主動區。可調的透光材料層130之厚度可調整為增進電路結構的成像效應,並藉由可調的透光材料層130之島狀物130b定義電路結構。
第8圖係一些實施例中,用以形成無鉻的相位移光罩(如光罩100或光罩200)之方法800的流程圖。方法800一開始的步驟802接收透光基板110,比如熔融石英或其他合適的透光基板。方法800包含的步驟804形成蝕刻停止層120於透光基板110上。在一些實施例中,蝕刻停止層120包含釕或氮氧化鉻。在一些實施例中,蝕刻停止層120包含釕、鎢、鋁、矽、鈦、上述之氧化物、上述之氮化物、上述之氮氧化物、或上述之組合。蝕刻停止層120的形成方法可為物理氣相沉積、化學氣相沉積、原子層沉積、或其他合適技術。
方法800包含的步驟806形成可調的透光材料層130於蝕刻停止層120上。在一些實施例中,可調的透光材料層130包含摻雜碳的氧化矽。變化碳濃度(或額外變化矽濃度)可調整可調的透光材料層130之透光度。在一些實施例中,可調的透光材料層130包含矽酸鹽玻璃與分散其中的發色團。可變化 發色團濃度以調整可調的透光材料層130之透光度。在多種例子中,可調的透光材料層130之形成方法可為旋轉塗佈、化學氣相沉積、或其他合適技術。在一些實施例中,可調的透光材料層130為溶膠-凝膠的矽酸鹽膜,其形成方法為溶膠-凝膠製程。舉例來說,可調的透光材料層包含氧化矽凝膠,其形成方法為溶膠-凝膠聚合適當的前驅物(如四乙氧基矽烷,Si(OC2H5)4)或其他合適的化學品。
特別的是,調整透光度可增進微影曝光製程時的成像對比與光罩解析度。在一些實施例中,方法800在形成可調的透光材料層130之步驟806之前更包含步驟808,其依據所需的相位移與透光度,一併考慮可調的透光材料層130之組成與厚度。如前所述,厚度的主要考量為所需的相位移(180度),其採用方程式mλ/[2(n-1)]。組成的主要考量為透光材料層130的衰減係數與厚度,其採用方程式如Beer-Lambert定律(T=e-μl)。T為可調的透光材料層之透光度,μ為可調的透光材料層之衰減係數,而1為可調的透光材料層之厚度。衰減係數μ可先取決於電路設計佈局的平均結構尺寸,或取決於工程師經驗或製程資料。組成與厚度一併取決於上述方程式。
如此一來,步驟806沉積可調的透光材料層130於蝕刻停止層120上,且可調的透光材料層130具有預定的組成與厚度。
方法800亦包含步驟810,其依據電路設計佈局圖案化可調的透光材料層130。此圖案化步驟包含微影製程與蝕刻。
多種實施例中無鉻的相位移光罩與其形成方法已說明如上。其他實施例亦可存在。舉例來說,無鉻的相位移光罩可具有混合結構,其為光罩100與光罩200的組合。在混合光罩中,以可調的透光材料層130之開口定義一些電路結構,並以可調的透光材料層130之島狀物定義一些其他電路結構。
上述之光罩100或200中,提供例示性的電路結構。此外亦可具有或添加其他結構。舉例來說,可添加一或多個虛置結構以改善光罩的成像品質或增進晶圓製程。在一些實施例中,可添加光學鄰近修正結構以改善解析度。下述內容將說明一例。
第9圖係一些實施例中,無鉻的相位移光罩900的剖視圖。在光罩900中,圖案化可調的透光材料層130以形成多種電路結構910與920。電路結構910與920定義於可調的透光材料層130之島狀物中。此外,新增光學鄰近修正結構130d至光罩900。在此例中,光學鄰近修正結構130d形成於電路結構910中。光學鄰近修正結構130d為次解析度結構,其尺寸小於微影曝光製程的解析度。如此一來,在採用光罩900的微影曝光製程中,光學鄰近修正結構130d將不會成像,但光學鄰近修正結構將改變射線強度。這將使微影曝光製程時,電路結構910的圖案成像為一暗結構。同樣地,電路結構920亦包含次解析度結構130c,其增進解析度的機制與前述相同。
第10係一些實施例中,微影系統1000的示意圖。微影系統1000與前述無鉻的相位移光罩,可用以對半導體晶圓進行微影曝光製程。微影系統1000包含射線源1010以提供射 線。射線源1010可為任何合適光源。在多種實施例中,射線源1010可包含紫外線源、深紫外線源、或其他合適的射線源。舉例來說,射線源1010可為放射波長為436nm(G線)或365nm(I線)的汞燈、放射波長為248nm的氟化氪準分子雷射、放射波長為193nm的氟化氬準分子雷射、放射波長為157nm的氟氣準分子雷射、或放射所需波長如13.5nm的其他光源。
微影系統1000亦包含光學次系統,其自射線源接收射線,以光罩1020調整射線,再將射線能量導至塗佈於半導體基板1030上的光阻層。在一些實施例中,光學次系統設計為具有折射機制。在此情況下,光學次系統包含多個折射構件如透鏡。
在一些特定實施例中,微影系統1000包含照射模組1040(如聚光器)。照射模組1040包含單一透鏡、具有多個透鏡的透鏡模組、及/或其他透鏡構件。舉例來說,照射模組1040可包含微透鏡陣列、蔭罩、及/或其他結構,其設計以輔助射線自射線源1010導至光罩1020上。
光罩1020為方法800製作之無鉻的相位移光罩,比如光罩100、光罩200、或光罩900。半導體基板1030承載於微影系統1000中,並固定於微影系統1000的光罩站點1050上。光罩站點1050可設計並設置以進行傳輸與旋轉等操作。
微影系統包含投射模組1060。投射模組1060包含單一透鏡單元或多個透鏡單元,其設置以提供適當的照射至塗佈於半導體基板1030上的光阻層。照射模組1040與投射模組1060一併稱作成像模組(或成像光學件)。成像透鏡可進一步包 含額外構件,比如入射光瞳與出射光瞳,其設置以將光罩1020成像至半導體基板1030上。
微影系統1000亦可包含基板站點1070,其可固定半導體基板1030並以傳輸與旋轉等模式移動半導體基板1030,以在微影曝光製程中對準及掃描半導體基板1030。
微影系統1000中的基板站點1070固定半導體基板1030。光阻層或其他射線敏感層可塗佈於半導體基板1030上。在一些實施例中,半導體基板1030包含半導體晶圓,其具有半導體元素如結晶矽、多晶矽、非晶矽、鍺、或鑽石;半導體化合物如碳化矽或砷化鎵;半導體合金如SiGe、GaAsP、AlInAs、AlGaAs、或GaInP;或上述之組合。
微影系統1000可依射線源與其他參數的不同性質而具有不同設計。在一些實施例中,射線為極紫外線,而光學次系統設計以具有反射機制。在此狀況下,光學次系統包含多種反射式構件如反射鏡。在一例中,射線源1010包含放射波長為約13.5nm的極紫外線源。綜上所述,光罩1020設計為反射式光罩。在此例中,光罩的基板包含反射式的多層結構。
採用光罩1020的微影系統1000中,對半導體基板1030施加微影曝光製程。由於光罩1020為無鉻的相位移光罩,且其可調的透光材料層可調整以增進解析度,可讓塗佈於半導體基板1030上的光阻層在曝光後具有改良的成像品質。此外在製作與使用光罩時,蝕刻停止層120可保護光罩1020免於或減少損傷。
第11圖係一些實施例中,採用無鉻的相位移光罩 1020的方法1100之流程圖。方法1100將搭配第10與11圖說明。方法1100一開始的步驟為接收無鉻的相位移光罩1020,並將無鉻的相位移光罩1020固定於微影系統1000的光罩站點1050上。方法1100包含的步驟1104接收半導體基板1030,並將半導體基板1030固定於基板站點1070上。方法1100亦包含步驟1106,以微影系統1000對半導體基板1030進行微影曝光製程。在微影曝光製程時,以無鉻的相位移光罩1020調整射線,再以調整後的射線曝光塗佈於半導體基板1030上的光阻層。方法1100亦可包含其他步驟。舉例來說,方法1100包含的步驟1108顯影曝光後的光阻層,以形成圖案化的光阻層。方法1100在步驟1108前亦可包含其他步驟如曝光後烘烤。方法1100亦可包含步驟1100,其採用圖案化的光阻層作為蝕刻遮罩,對半導體基板1030進行蝕刻製程。在一些實施例中,步驟1110可改為以圖案化的光阻層作為佈植遮罩,對半導體基板1030進行離子佈植製程。
本發明提供無鉻的相位移光罩、其製作方法、以其應用方法。無鉻的相位移光罩包含蝕刻停止層於透光基板上,以及可調的透光材料層於蝕刻停止層上。可調的透光材料層可依據電路設計佈局圖案化。此外,可調的透光材料層設計為具有可調的透光度,其材質可為摻雜碳的氧化矽、具有發色團分散其中的矽酸鹽玻璃、或溶膠-凝膠矽酸鹽。可調的透光材料層設計以提供透光度所需的彈性,其可介於適當範圍如90%至99%之間。蝕刻停止層包含釕、氮氧化鉻、或其他合適材料。
多種實施例中無鉻的相位移光罩,可具有下述的一些優點。然而應理解不同實施例可提供不同優點,且所有的實施例不必然具有特定優點。舉例來說,可調的透光材料層設計以提供調整透光度的彈性,並增進解析度。在製作或使用光罩時,蝕刻停止層可保護光罩免於損傷。採用上述無鉻的相位移光罩,可改善一或多個成像參數,如第12圖所示。在第12圖中,橫軸指的是定義於無鉻的相位移光罩上的圖案間距(nm),而縱軸指的是採用無鉻的相位移光罩之微影製程時,光罩圖案成像的最佳焦距(μm)。資料1210對應無鉻的相位移光罩1020之一實施例,而資料1212對應無鉻的相位移光罩1020之另一實施例。與資料1210與資料1212相關的光罩類似,差別只在於結構參數如蝕刻停止層120的膜厚不同。其他兩組資料1214與1216為現有無鉻的相位移光罩之不同例子,其不具有任何蝕刻停止層。上述資料顯示實施例中無鉻的相位移光罩1020,其與現有光罩相較,對應間距的最佳焦距變異縮小。最佳焦距變異的定義為,光罩在特定的間距範圍內,其最佳焦距的最大值與最小值之間的差距。以第12圖為例,資料1210顯示無鉻的相位移光罩1020具有最佳焦距變異DBF1,而資料1216顯示現有之無鉻的相位移光罩具有最佳焦距變異DBF2,且最佳焦距變異DBF2>最佳焦距變異DBF1。由最佳焦距變異可知,本發明實施例的光罩的效能優於現有光罩。綜上所述,採用本發明實施例揭露之無鉻的相位移光罩1020可增進微影圖案化的效能。
如此一來,本發明實施例提供相位移光罩,其包含透光基板、位於透光基板上的蝕刻停止層、以及位於蝕刻停 止層上的可調的透光材料層,且可調的透光材料層圖案化以具有開口,其中蝕刻停止層完全覆蓋開口中的部份透光基板,且可調的透光材料層設計為提供相位移。
在一些實施例中,上述相位移光罩其可調的透光材料層圖案化以定義電路結構,且在微影製程中採用光射線使電路結構成像於半導體基板上。
在一些實施例中,上述相位移光罩其可調的透光材料層與透光基板之間隔有蝕刻停止層,且可調的透光材料層設計以提供90%至99%之間的透光度。
在一些實施例中,上述相位移光罩其可調的透光材料層之厚度,使相位移為實質上180度。
在一些實施例中,上述相位移光罩其透光基板包含熔融石英。
在一些實施例中,上述相位移光罩其可調的透光材料層包含摻雜碳的氧化矽。
在一些實施例中,上述相位移光罩其可調的透光材料層包含分散有發色團的矽酸鹽玻璃。
在一些實施例中,上述相位移光罩其可調的透光材料層為溶膠-凝膠的矽酸鹽膜。
在一些實施例中,上述相位移光罩的蝕刻停止層包含釕與氮氧化鉻中至少一者。
在一些實施例中,上述相位移光罩的蝕刻停止層包含下述材料之一:釕、鎢、鋁、矽、鈦、上述之氧化物、上述之氮化物、上述之氮氧化物、與上述之組合物。
在一些實施例中,上述相位移光罩其可調的透光材料層之開口定義電路設計佈局的電路結構。
在一些實施例中,上述相位移光罩其可調的透光材料層經圖案化以進一步包含島狀物,且島狀物定義電路設計佈局的電路結構。
本發明一些實施例提供無鉻的相位移光罩,其包括:透光基板,其具有相鄰的第一區與第二區;位於透光基板上之可調的透光材料層,其圖案化以形成透光結構於第一區中,以及開口於第二區中;以及夾設於可調的透光材料層與透光基板之間的蝕刻停止層,其中蝕刻停止層完全覆蓋第一區與第二區,並自第一區連續地延伸至第二區。
在一些實施例中,上述無鉻的相位移光罩中透光結構與開口之一者定義電路結構,且電路結構在採用光射線的微影製程中成像於半導體基板上。
在一些實施例中,上述無鉻的相位移光罩其透光基板包含熔融石英;可調的透光材料層包含的材料係下述之一者:摻雜碳的氧化矽、分散有發色團於其中的矽酸鹽玻璃、或溶膠-凝膠矽酸鹽;以及蝕刻停止層包括釕。
在一些實施例中,上述無鉻的相位移光罩之蝕刻停止層包含的材料係下述之一者:鎢、鋁、矽、鈦、上述之氧化物、上述之氮化物、上述之氮氧化物、或上述之組合。
在一些實施例中,上述無鉻的相位移光罩其可調的透光材料層之厚度與組成設計為在微影製程中,穿透無鉻的相位移光罩之第一區的光射線之第一光束,與穿透無鉻的相位 移光罩之第二區的光射線之第二光束之間的相位差為約180度。
在一些實施例中,上述無鉻的相位移光罩其蝕刻停止層的組成與厚度,設計為對光射線具有大於95%的透光度。
在一些實施例中,上述無鉻的相位移光罩其蝕刻停止層完全分隔可調的透光材料層與透光基板。
本發明一些實施例亦提供積體電路的製作方法。方法包含:提供半導體基板;以及提供光罩,其包含透光基板;位於透光基板上的蝕刻停止層;以及位於蝕刻停止層上之可調的透光材料層,且依據積體電路圖案圖案化可調的透光材料層,其中可調的透光材料層設計以提供相位移,並具有大於90%的透光度。此方法亦包含在微影製程中採用光罩,以形成積體電路圖案於半導體基板上。
雖然上述內容已詳述本發明實施例,本技術領域中具有通常知識者應理解在未脫離本發明精神與範疇的情況下,可進行多種變化、取代、或置換。綜上所述,上述的變化、取代、與置換均屬本發明實施例的範疇,如下述之申請專利範圍所定義。在申請專利範圍中,手段功能用語用於涵蓋執行功能的結構,不僅僅是結構等位而包含等效結構。
W:尺寸
100:光罩
110:透光基板
120:蝕刻停止層
130:透光材料層
130a:開口
150:第一區
160:第二區

Claims (10)

  1. 一種相位移光罩,包括:一透光基板;一蝕刻停止層,位於該透光基板上,其中該蝕刻停止層包含氧化釕、氮化鎢、和氮化鈦中至少一者,且摻雜有磷、鈣、和鈉中至少一者;以及一透光材料層,位於該蝕刻停止層上,且該透光材料層圖案化以具有一開口,其中該蝕刻停止層完全覆蓋該開口中的部份該透光基板,且其中該透光材料層設計為提供相位移,進一步設計為提供大於90%的透光度,且依據包含該透光材料層的一衰減係數與一厚度來選擇,且其中該相位移光罩對一微影制程中的一光射線實質上透明。
  2. 如申請專利範圍第1項所述之相位移光罩,其中該透光材料層圖案化以定義一電路結構,在該微影制程中採用該光射線使該電路結構成像於一半導體基板上,且該透光材料層的該厚度使相位移為實質上180度。
  3. 如申請專利範圍第1項所述之相位移光罩,其中該透光材料層圖案化以進一步包含一島狀物,且該島狀物定義一電路設計佈局的一電路結構,其中該島狀物進一步包含具有次解析度尺寸的穿孔。
  4. 如申請專利範圍第1至3項中任一項所述之相位移光罩,其中該蝕刻停止層中的氧化釕、氮化鎢、和氮化鈦中至少一者的原子%大於80原子%。
  5. 如申請專利範圍第1至3項中任一項所述之相位移光罩,其 中該蝕刻停止層包含摻雜鈣的氧化釕、摻雜磷的氮化鈦、或摻雜鈉的氮化鎢。
  6. 如申請專利範圍第1至3項中任一項所述之相位移光罩,其中該透光材料層包含30原子%至60原子%的矽、30原子%至60原子%的氧、以及低於10原子%的碳,且其中該蝕刻停止層包含摻雜鈉的氮化鎢。
  7. 一種無鉻的相位移光罩,包括:一透光基板,具有相鄰的一第一區與一第二區;一透光材料層,包含矽、氧、碳、氮、磷、和硼,具有大於90%的透光度,對一微影制程中一光射線實質上透明,且位於該透光基板上,且圖案化以形成一實質上透光結構於該第一區中,以及一開口於該第二區中,其中依據一衰減係數決定該透光材料層的組成,該衰減係數取決於Beer-Lambert定律,T正比於e-μl,其中T為透光度,l為厚度,且μ為該衰減係數;以及一蝕刻停止層,夾設於該透光材料層與該透光基板之間,其中該蝕刻停止層包含氧化釕、氮化鎢、和氮化鈦中至少一者,且摻雜有磷、鈣、和鈉中至少一者,調整以提供大於98%的透光度,且該蝕刻停止層完全覆蓋該第一區與該第二區,且自該第一區連續地延伸至該第二區。
  8. 如申請專利範圍第7項所述之無鉻的相位移光罩,其中該透光基板包含熔融石英;該透光材料層包含的材料為下述的一者:摻雜碳的氧化矽、分散有發色團於其中的矽酸鹽玻璃、和溶膠-凝膠矽酸鹽;以及該蝕刻停止層包含摻雜鈣的 氧化釕。
  9. 如申請專利範圍第7或8項所述之無鉻的相位移光罩,其中該透光材料層的厚度設計為提供在該微影制程中,穿透該無鉻的相位移光罩的該第一區的該光射線的一第一光束,與穿透該無鉻的相位移光罩的該第二區的該光射線的一第二光束之間的相位差為約180度。
  10. 一種積體電路的製作方法,包括:提供一半導體基板;提供一光阻層於該半導體基板上;提供一光罩,其包含一透光基板;一蝕刻停止層,位於該透光基板上,其中該蝕刻停止層包含氧化釕、氮化鎢、和氮化鈦中至少一者,且摻雜有磷、鈣、和鈉中至少一者;以及一透光材料層,對一光射線實質上透明且位於該蝕刻停止層上,且依據一積體電路圖案圖案化該透光材料層,其中該透光材料層設計以提供相位移,且具有大於90%的透光度,且至少基於該透光材料層的衰減係數進行優化;以及在一微影制程中採用該光罩,以形成該積體電路圖案於該半導體基板上,該微影制程包含採用該光罩對該半導體基板進行一微影曝光制程;以及顯影該曝光後的光阻層。
TW106126525A 2016-08-25 2017-08-07 相位移光罩、無鉻的相位移光罩及積體電路的製作方法 TWI695220B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662379547P 2016-08-25 2016-08-25
US62/379,547 2016-08-25
US15/597,992 2017-05-17
US15/597,992 US10394114B2 (en) 2016-08-25 2017-05-17 Chromeless phase shift mask structure and process

Publications (2)

Publication Number Publication Date
TW201820024A TW201820024A (zh) 2018-06-01
TWI695220B true TWI695220B (zh) 2020-06-01

Family

ID=61242224

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106126525A TWI695220B (zh) 2016-08-25 2017-08-07 相位移光罩、無鉻的相位移光罩及積體電路的製作方法

Country Status (3)

Country Link
US (2) US10394114B2 (zh)
CN (1) CN107783367B (zh)
TW (1) TWI695220B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10394114B2 (en) * 2016-08-25 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Chromeless phase shift mask structure and process
TWI821625B (zh) * 2018-10-22 2023-11-11 日商Hoya股份有限公司 光罩、光罩之製造方法及電子元件之製造方法
US11613802B2 (en) 2020-04-17 2023-03-28 Rockwell Collins, Inc. Additively manufactured shadow masks for material deposition control
CN115343910A (zh) * 2021-05-12 2022-11-15 上海传芯半导体有限公司 移相掩膜版及其制作方法
US20220390827A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask and methods

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06266090A (ja) * 1993-03-10 1994-09-22 Dainippon Printing Co Ltd 位相シフトフォトマスクブランク及び位相シフトフォトマスク
JP2006084507A (ja) * 2004-09-14 2006-03-30 Matsushita Electric Ind Co Ltd 位相シフトマスク及び位相シフトマスクの製造方法
JP2007017998A (ja) * 2000-12-26 2007-01-25 Hoya Corp ハーフトーン型位相シフトマスク及びマスクブランク

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5655947A (en) * 1979-10-12 1981-05-16 Fujitsu Ltd Photomask for far ultraviolet rays
JPH05289305A (ja) * 1992-04-08 1993-11-05 Dainippon Printing Co Ltd 位相シフトフォトマスク
JPH0798493A (ja) * 1993-09-28 1995-04-11 Toppan Printing Co Ltd 位相シフトマスク及びその製造方法
JP2878143B2 (ja) * 1994-02-22 1999-04-05 インターナショナル・ビジネス・マシーンズ・コーポレイション 減衰位相シフト・マスク作成用の薄膜材料及びその作成方法
KR0136630B1 (ko) * 1994-03-21 1998-04-29 김주용 위상반전 마스크 제조방법
JP3301215B2 (ja) * 1994-05-31 2002-07-15 ソニー株式会社 ハーフトーン型位相シフトマスク、ハーフトーン型位相シフトマスクの作製に用いる半透明部形成材料、及びハーフトーン型位相シフトマスクの作製方法
US5533634A (en) * 1994-09-01 1996-07-09 United Microelectronics Corporation Quantum chromeless lithography
JP2658966B2 (ja) * 1995-04-20 1997-09-30 日本電気株式会社 フォトマスク及びその製造方法
US5582939A (en) * 1995-07-10 1996-12-10 Micron Technology, Inc. Method for fabricating and using defect-free phase shifting masks
KR20000016521A (ko) * 1996-06-12 2000-03-25 워칼로 푸로스 죠지 박막의 모노리틱형 실리콘 막에 의해 형성된 윈도우 영역을 포함하는 애노드를 갖는 화학 방사선 소스
JP2790127B2 (ja) * 1996-06-27 1998-08-27 日本電気株式会社 フォトマスク及びその製造方法
US6524755B2 (en) * 2000-09-07 2003-02-25 Gray Scale Technologies, Inc. Phase-shift masks and methods of fabrication
WO2002044812A2 (en) * 2000-12-01 2002-06-06 Unaxis Usa Inc. Embedded attenuated phase shift mask and method of making embedded attenuated phase shift mask
US6641959B2 (en) * 2001-08-09 2003-11-04 Intel Corporation Absorberless phase-shifting mask for EUV
DE10307545A1 (de) * 2002-02-22 2003-11-06 Hoya Corp Zuschnitt für halbtonartige Phasenverschiebungsmaske und zugehörige Phasenverschiebungsmaske
US7011910B2 (en) * 2002-04-26 2006-03-14 Hoya Corporation Halftone-type phase-shift mask blank, and halftone-type phase-shift mask
EP1536284A1 (en) * 2002-07-02 2005-06-01 Sony Corporation Phase shift mask and production method therefor and production method for semiconductor device
US20050026053A1 (en) * 2002-08-27 2005-02-03 Martin Patrick M. Photomask having an internal substantially transparent etch stop layer
US7022436B2 (en) * 2003-01-14 2006-04-04 Asml Netherlands B.V. Embedded etch stop for phase shift masks and planar phase shift masks to reduce topography induced and wave guide effects
US6875546B2 (en) * 2003-03-03 2005-04-05 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using an attenuated phase shift mask
TWI225965B (en) * 2003-05-14 2005-01-01 United Microelectronics Corp Photomask pattern
US6998204B2 (en) * 2003-11-13 2006-02-14 International Business Machines Corporation Alternating phase mask built by additive film deposition
JP4005622B1 (ja) * 2006-09-04 2007-11-07 ジオマテック株式会社 フォトマスク用基板及びフォトマスク並びにその製造方法
US8120114B2 (en) * 2006-12-27 2012-02-21 Intel Corporation Transistor having an etch stop layer including a metal compound that is selectively formed over a metal gate
JP2008185409A (ja) * 2007-01-29 2008-08-14 Alpine Electronics Inc ナビゲーション装置
US8389183B2 (en) * 2010-02-09 2013-03-05 International Business Machines Corporation Chromeless phase-shifting photomask with undercut rim-shifting element
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8465885B2 (en) * 2011-02-07 2013-06-18 International Business Machines Corporation Boundary layer formation and resultant structures
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8715890B2 (en) * 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US8709682B2 (en) 2012-02-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the mask
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8722286B2 (en) 2012-05-31 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods for improved reflective electron beam lithography
US8765330B2 (en) 2012-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8765582B2 (en) 2012-09-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for extreme ultraviolet electrostatic chuck with reduced clamp effect
US8785084B2 (en) 2012-09-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mask fabrication and repair
US8753788B1 (en) 2013-01-02 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus of repairing a mask and a method for the same
US9129965B2 (en) * 2013-03-14 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9921467B2 (en) * 2015-11-30 2018-03-20 Taiwan Semiconductor Manufacturing Company Ltd. Mask blank and mask and fabrication method thereof
US10394114B2 (en) * 2016-08-25 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Chromeless phase shift mask structure and process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06266090A (ja) * 1993-03-10 1994-09-22 Dainippon Printing Co Ltd 位相シフトフォトマスクブランク及び位相シフトフォトマスク
JP2007017998A (ja) * 2000-12-26 2007-01-25 Hoya Corp ハーフトーン型位相シフトマスク及びマスクブランク
JP2006084507A (ja) * 2004-09-14 2006-03-30 Matsushita Electric Ind Co Ltd 位相シフトマスク及び位相シフトマスクの製造方法

Also Published As

Publication number Publication date
CN107783367A (zh) 2018-03-09
US11022874B2 (en) 2021-06-01
TW201820024A (zh) 2018-06-01
CN107783367B (zh) 2022-10-28
US20180059531A1 (en) 2018-03-01
US10394114B2 (en) 2019-08-27
US20190377255A1 (en) 2019-12-12

Similar Documents

Publication Publication Date Title
TWI695220B (zh) 相位移光罩、無鉻的相位移光罩及積體電路的製作方法
TWI461833B (zh) 多調式光罩、多調式光罩之製造方法及圖案轉印方法
JP4296943B2 (ja) 露光用マスクの製造方法および露光方法ならびに3次元形状の製造方法
US8563227B2 (en) Method and system for exposure of a phase shift mask
JPH075675A (ja) マスク及びその製造方法
KR20170009676A (ko) 다층 구조체를 갖는 마스크 및 이를 이용한 제조 방법
TWI459442B (zh) 成像裝置及其形成方法及形成半導體裝置結構之方法
US20080090157A1 (en) Photo mask with improved contrast and method of fabricating the same
KR100475083B1 (ko) 미세한 콘택홀 어레이를 위한 포토마스크, 그 제조방법 및사용방법
TWI742174B (zh) 微影光罩與其製作方法及微影製程
US20070087272A1 (en) Method for preparing a phase-shifting mask and method for preparing a semiconductor device using the phase-shifting mask
US8007959B2 (en) Photomask and pattern formation method using the same
JP5767140B2 (ja) フォトマスク、パターン転写方法、及びペリクル
JP2012203290A (ja) フォトマスクおよびその製造方法
TWI402892B (zh) 使用光罩護膜以圖案化一層之方法
US5798192A (en) Structure of a mask for use in a lithography process of a semiconductor fabrication
JP2923905B2 (ja) フォトマスク
JP3178516B2 (ja) 位相シフトマスク
US20090226823A1 (en) Reticles including assistant structures, methods of forming such reticles, and methods of utilizing such reticles
JP2005031287A (ja) 投影露光装置、投影露光装置に使用されるレチクル、投影露光方法及び半導体デバイス製造方法
JP2004279484A (ja) 位相シフトマスク
US7629090B2 (en) Reticle and method of manufacturing method the same
JPH0511433A (ja) フオトマスクの製造方法及びフオトマスク
JP5949877B2 (ja) マスクパターン転写方法
JPH04175746A (ja) マスク、その製造方法及びそれを用いた像形成方法