TWI690992B - 銅阻障膜之蝕刻方法 - Google Patents

銅阻障膜之蝕刻方法 Download PDF

Info

Publication number
TWI690992B
TWI690992B TW104133125A TW104133125A TWI690992B TW I690992 B TWI690992 B TW I690992B TW 104133125 A TW104133125 A TW 104133125A TW 104133125 A TW104133125 A TW 104133125A TW I690992 B TWI690992 B TW I690992B
Authority
TW
Taiwan
Prior art keywords
gas
barrier film
providing
halogen
pulse
Prior art date
Application number
TW104133125A
Other languages
English (en)
Other versions
TW201628083A (zh
Inventor
美華 沈
朱濟
朔罡 黃
周葆所
約翰 黃
普力圖 沙瑪
托爾斯滕 立爾
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201628083A publication Critical patent/TW201628083A/zh
Application granted granted Critical
Publication of TWI690992B publication Critical patent/TWI690992B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32131Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30617Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Abstract

­­ 提供一種在堆疊中銅結構下方打開阻障膜的方法。提供脈衝氣體至電漿處理腔室中,其中該脈衝氣體的提供包含提供脈衝含H2 氣體,以及提供脈衝含鹵素氣體,其中脈衝含H2 氣體及脈衝含鹵素氣體係異相脈衝,並且其中脈衝含H2 氣體具有H2 高流量時段,且脈衝含鹵素氣體具有含鹵素氣體高流量時段,其中H2 高流量時段係大於含鹵素氣體高流量時段。使脈衝氣體形成為電漿。使銅結構及阻障膜暴露至電漿,該電漿蝕刻阻障膜。在另一實施例中,可使用濕式及乾式循環製程。

Description

銅阻障膜之蝕刻方法
本發明相關於在半導體晶圓上形成半導體裝置的方法。更具體地,本發明相關於半導體裝置形成中的銅圖案化。
[相關申請案之交互參考]
本申請案主張2014年10月9日申請、且名為「NOVEL METHOD TO ETCH COPPER BARRIER FILM」之美國臨時專利申請案第62/061,774號之35 U.S.C.§ 119下的權利,其係併入於此以供所有目的之參考。
該發明相關於在半導體晶圓上形成半導體裝置的方法。更具體地,該發明相關於半導體裝置形成中的銅圖案化。
根據該發明,提供一種在堆疊中銅結構下方打開阻障膜的方法。將堆疊放置於乾式電漿處理腔室中。提供脈衝氣體至電漿處理腔室中,其中該脈衝氣體的提供包含提供脈衝含H2氣體,以及提供脈衝含鹵素氣體,其中脈衝含H2氣體及脈衝含鹵素氣體係異相脈衝,並且其中脈衝含H2氣體具有H2高流量 時段,且脈衝含鹵素氣體具有含鹵素氣體高流量時段,其中H2高流量時段係大於含鹵素氣體高流量時段。使脈衝氣體形成至電漿中。使銅結構及阻障膜暴露至電漿,該電漿蝕刻阻障膜。
在該發明的另一表現形式中,提供一種在堆疊中銅結構下方打開包含Co、Ru、或Ta、Ti、TaN、或TiN其中至少一者之阻障膜的方法。將堆疊放置於乾式電漿處理腔室中。提供恆定流量之惰性載體氣體。提供脈衝氣體至電漿處理腔室中,其中該脈衝氣體的提供包含提供脈衝含H2氣體,以及提供脈衝含鹵素氣體,該脈衝含鹵素氣體包含HBr、BCl3、Cl2、CF4、或NF3其中至少一者,其中脈衝含H2氣體及脈衝含鹵素氣體係異相脈衝,並且其中脈衝含H2氣體具有H2高流量時段,且脈衝含鹵素氣體具有含鹵素氣體高流量時段,其中H2高流量時段對含鹵素氣體高流量時段的比例係介於2:1至20:1(含)之間。使脈衝氣體形成至電漿中,此步驟包含:在提供脈衝氣體至電漿處理腔室中的期間,提供介於200與1000瓦特之間的RF功率訊號;以及在提供脈衝氣體至電漿處理腔室中的期間,提供介於50與500伏特之間的偏壓功率。使銅結構及阻障膜暴露至電漿,該電漿蝕刻阻障膜。
在該發明的另一表現形式中,提供在銅結構下方打開阻障膜的方法。提供包含至少一個循環的濕式及乾式處理,其中每一循環包含以下者之序列複數步驟:提供銅結構下方之阻障膜的濕式處理、及提供銅結構下方之阻障膜的乾式電漿濺射。
在該發明的另一表現形式中,提供一種在銅結構下方打開包含Co、Ru、或Ta、Ti、TaN、或TiN其中至少一者之阻障膜的方法。提供包含至少三個循環的濕式及乾式處理,其中每一循環包含以下者之序列複數步驟:藉由 提供酸槽浴、緩衝劑槽浴、或螯合劑槽浴、或者包含乙醯丙酮(acetyl acetone)、六氟乙醯丙酮(hexafluoroacetylacetone)、或過氧化氫(hydrogen peroxide)其中至少一者之槽浴而提供銅結構下方之阻障膜的濕式處理;以及提供銅結構下方之阻障膜的乾式電漿濺射。在提供濕式及乾式處理後,在銅結構上提供碳沉積物。
本發明之該等及其他特徵將於以下在實施方式中、並且結合以下圖式而加以更詳細地描述。
104:步驟
108:步驟
112:步驟
116:步驟
120:步驟
124:步驟
200:堆疊
204:基板
208:阻障膜
212:銅結構
216:遮罩
220:頂部表面
224:非等向性輪廓
304:步驟
308:步驟
312:步驟
316:步驟
320:步驟
324:步驟
400:電漿處理系統
401:電漿處理工具
402:電漿反應器
404:電漿處理腔室
408:電極
410:氣體供應機構/氣體源
416:氣體來源
417:氣體歧管
418:排氣機構
419:壓力控制閥
420:排氣泵
424:電漿
450:TCP控制器
451:TCP電源
452:TCP匹配網路
453:TCP線圈
454:RF透明窗
455:偏壓功率控制器
456:偏壓電源
457:偏壓匹配網路
470:控制電路
480:溫度控制器
481:H2氣體來源
482:He氣體來源
483:鹵素氣體來源
484:冷卻電源
500:電腦系統
502:處理器
504:電子顯示裝置
506:主記憶體
508:儲存裝置
510:可移除式儲存裝置
512:使用者介面裝置
514:通訊介面
516:通訊設施
604:線
608:線
612:線
616:線
620:線
624:時間線
本發明係藉由範例的方式、且非限制的方式而在隨附圖示的複數圖中加以說明,並且其中,相似的參考數字是指相似的元件,並且其中:
圖1為本發明一實施例的高階流程圖。
圖2A-F為根據本發明一實施例受到處理之堆疊的橫剖面示意圖。
圖3為本發明另一實施例的高階流程圖。
圖4為本發明一實施例中所使用之電漿處理系統的示意圖。
圖5為顯示可在本發明一實施例中加以使用之電腦系統的高階方塊圖。
圖6為脈衝製程之範例的示意性說明。
現在本發明將參考如隨附圖式中所說明之本發明若干較佳實施例而加以詳細說明。在以下描述內容中,提出許多具體細節,以提供對本發明的透徹理解。然而,對熟悉本技術領域者而言將明白的是,本發明可在沒有該等具體細節的一些或全部者的條件下而實施。在其他情形中,已熟知的製程步驟及/或結構並未作詳細描述,以免不必要地模糊本發明。
在目前的雙鑲嵌法之情況下,小尺寸(<L10)的銅阻值由於銅顆粒尺寸的限制而增加。針對先進的技術節點(<L7),已為了BEOL互連而探索減少銅蝕刻以降低銅阻值。儘管在利用電漿蝕刻之銅圖案化方面有顯著的進步,但銅膜下方阻障膜的打開卻變得極具挑戰。在習知的膜堆疊中,針對銅阻障物典型地使用如Co、Ru、Ta、及TaN的材料,並且鹵素電漿係蝕刻Ta/TaN膜常見的化學選擇。然而,當涉及銅時,鹵素化學物使銅膜受到腐蝕,導致圖案畸變及膜劣化。使用離子濺射方法(非鹵素)移除阻障膜可能導致Ta/TaN再沉積至具有錐形的低介電常數(low-k)的凹槽區域中,形成縮減的輪廓,導致線內故障,形成線隔離。因此,關鍵需要找到側壁上無金屬沉積條件下移除阻障物的新方法、以及更好的底部CD控制,以使銅蝕刻方法產生作用。
為促進對本發明的理解,圖1係為發明一實施例的高階流程圖。提供在銅結構下方具有阻障膜的堆疊(步驟104)。至少一個循環的循環製程(步驟108)提供:阻障膜的濕式處理(步驟112)、及阻障膜的乾式濺射(步驟116)。碳層係沉積在銅結構之上(步驟120)。使用含鹵素乾式蝕刻製程來完成阻障膜的蝕刻(步驟124)。
在一實施例的更具體範例中,提供在銅結構下方具有阻障膜的堆疊(步驟104)。圖2A為堆疊200的橫剖面示意圖,該堆疊200在設置於銅結 構212下之阻障膜208的下方具有基板204。在此實施例中,遮罩216係在銅結構212之上。在各種實施例中,遮罩216可由一或更多疊層形成,或可將一或更多疊層放置於遮罩216與銅結構212之間。此外,可將一或更多疊層放置於阻障膜208與銅結構212之間、或者阻障膜208與基板204之間。
在此實施例中,銅結構212係純銅。在其他實施例中,銅結構係銅合金。阻障膜208係Ru、Co、Ta、Ti、TiN、Pt、W、或TaN其中至少一者。
提供至少一個循環的循環製程,以蝕刻阻障膜(步驟108)。每一循環包含:阻障膜的濕式處理(步驟112)、以及阻障膜的乾式濺射(步驟116)。在此實施例中,濕式處理包含不蝕刻銅結構212或者使其劣化、卻在蝕刻阻障膜208方面有作用的槽浴。若阻障膜208係Co、Ta、Ti、TiN、或TaN,則濕式處理的槽浴係酸槽浴、緩衝劑槽浴、螯合劑槽浴,或者包含乙醯丙酮(acetyl acetone)、六氟乙醯丙酮(hexafluoroacetylacetone)。酸槽浴可包含乙酸或甲酸其中一者;緩衝劑可包含具有乙酸或甲酸的氨氫氧化物緩衝劑;螯合劑可包含乙二胺四乙酸(ethylenediaminetetraacetic acid)、氮[基]三乙酸(nitrilotriacetic acid)、吡啶-2,3-二羧酸(pyridine-2 3-dicarboxylic acid)、內消旋-2,3-二巰基丁二酸(meso-2,3-dimercaptosuccinic acid)、及2,3-二巰基-1-丙醇(2,3-dimercapto-1-propanol)。若阻障膜208係Ta或TaN,則濕式處理的槽浴包含混合有過氧化氫及Cu腐蝕抑制劑(corrosion inhibitor)(<10%w的腐蝕抑制劑)的有機溶劑。有機溶劑的範例係甲醇(methanol)、乙醇(ethanol)、丙醇(propanol)、丁醇(dimethyl)、二甲亞碸(DMSO,dimethyl sulfoxide)、二甲基甲醯胺(DMF,dimethyl formamide)、丙酮(acetone)、乙二醇(ethylene glycol)、乙酸乙酯(ethyl acetate)、N-甲基-2-吡咯啶酮(NMP, N-methyl-2-pyrrolidinone)等。較佳的Cu腐蝕抑制劑係苯並三唑(BTA,benzotriazole),並且替代的腐蝕抑制劑可為:1)唑(azole),例如3-胺1,2,4-三唑(ATA,3-amino 1,2,4-triazole)、四唑(TTA,tetrazole);2)胺(amine),例如N-苯基-1,4-苯二胺(NPPD,N-phenyl-1,4-phenylenediamine);3)胺基酸(amino acid),例如半胱胺酸(cysteine)、及色胺酸(tryptophan);4)硫醇基團試樣(Thiol group coupon),例如[苯]硫酚(benzenethiol)、及替代[苯]硫酚(substituted benzenethiol)。具體的配方可為31%w H2O2與半水溶液(semi-aqueous solution)的1:1容積混合物,該半水溶液係40%w DMSO、0.4%w BTA的半水溶液。藉由將10nm的TaN膜在60℃暴露至此混合物15min可將其移除。
在此實施例中,阻障膜的乾式濺射(步驟116)在阻障物乾式蝕刻後提供沒有腐蝕的銅,這是利用如H2的鹵素清掃物種(halogen scavenging species)、藉由使用包含但不限於以下者的稀薄鹵素化學成分(lean halogen chemistry)而達成:BCl3、HBr、CF4、NF3、Cl2、HCl、HI、CHF3。藉由調整H2流量對鹵素流量的比例,阻障層可受到化學地乾式蝕刻,而避免銅腐蝕。乾式濺射提供包含少量鹵素的濺射氣體,該濺射氣體在此實施例中係不超過20sccm鹵素、以及500sccm H2。較佳地,此實施例提供H2對鹵素之間具有處於自5:1至200:1之範圍之流量比的濺射氣體。更佳地,H2對鹵素之流量比係介於5:1與50:1之間。電漿電源提供自50W至1000W的激發功率、自2mTorr至50mTorr的腔室壓力、自20V至600V的偏壓電壓、以及自10℃至120℃的靜電卡盤溫度。更佳地,偏壓係介於100V至500V之間。最佳地,偏壓係介於200V至400V之間。
在此方法中,阻障膜係首先利用濕式化學物進行處理,以使膜鬆釋,接著是輕乾式濺射蝕刻,以形成非等向性輪廓。圖2B係堆疊200受到濕式處理(步驟112)後的橫剖面示意圖。如波形線顯示,阻障膜208的頂部表面220已藉由濕式處理而受到鬆釋。圖2C係堆疊200受到乾式濺射處理(步驟116)後的橫剖面示意圖。已形成非等向性輪廓224。圖2D係堆疊200在濕式處理(步驟112)與乾式濺射(步驟116)的複數循環之後的橫剖面示意圖。在此範例中,阻障膜208實質上已受到蝕刻,但並未受到徹底地蝕刻。
在替代的實施例中,濕式與乾式的循環(步驟108)可首先從輕乾式濺射開始(步驟116),且然後是受損膜的濕式移除(步驟112)。可重複該序列複數次,以達成期望效果,並且關鍵是在化學移除阻障膜時保留完整的銅膜,並且避免濺射阻障物至凹槽中。
碳層係沉積在銅結構上(步驟120)。該保護層可利用包含但不限於以下者的化學成分形成:CH4、C2H2、CH3F、CH2F2、C4F8、及C4F6。此外,為在晶圓範圍控制保護層的均勻性,可附加包含但不限於H2及N2的其他氣體至該化學成分。圖2E係堆疊200在已沉積碳層232(步驟120)後的橫剖面示意圖。
鹵素基乾式蝕刻製程(步驟124)係用來完成阻障膜208的蝕刻。在此實施例中,提供H2/鹵素基蝕刻製程。可使用如以上所描述之H2/鹵素基蝕刻製程。圖2F係堆疊200在鹵素基乾式蝕刻製程(步驟124)後的橫剖面示意圖。在此實施例中,阻障膜208已受到徹底地蝕刻,且下方的基板204已受到部分地蝕刻。
此實施例利用乾式與化學物組合的交互作用促進阻障膜的移除,其中僅有乾式、或僅有濕式不能達成期望的結果。每一層的乾式電漿處理與適當濕式化學物的交互作用可受到調整,以獲得最佳的輪廓結果、側壁沉積、及銅膜完整性控制。
此實施例可解決銅蝕刻阻障物打開製程中的問題。此實施例預防低介電常數(low-k)凹槽區域中的金屬沉積物導致形成僅藉由乾式製程而將形成的錐形輪廓及短路。此實施例也預防僅藉由濕式處理而形成之阻障膜的Cu膜撞擊及等向底切。此實施例之乾式與濕式移除組合可在凹槽中無金屬沉積物的條件下,使製程達成阻障膜的非等向移除。可將電漿條件調整為產生受損阻障層,使得溫和濕式化學物可在不影響Cu膜的條件下移除受損膜。可應用該複數步驟的循環來移除阻障物材料的不同膜堆疊。
碳膜沉積物有助於保護遮罩免於腐蝕、並且保護Cu線免於腐蝕。
在另一實施例中,乾式濺射係無鹵素製程。在其他實施例中,碳沉積製程可藉由SiN或AlOx(鋁氧化物)的沉積而替代,該SiN或AlOx(鋁氧化物)的沉積可使用間隔件沉積物來保護Cu線及遮罩。
在其他實施例中,循環製程徹底將阻障膜208移除。在如此一實施例中,不使用碳沉積步驟及鹵素蝕刻。在一實施例中,將濕式及乾式製程重複至少三個循環。
圖3為該發明另一實施例的高階流程圖。將銅結構下方具有阻障膜的堆疊放置在電漿處理腔室中(步驟304)。使連續氣體及脈衝氣體流動至電漿處理腔室中(步驟308)。使連續氣體及脈衝氣體形成至電漿中(步驟312)。 電漿係用來在不損壞銅結構的條件下徹底地蝕刻阻障膜(步驟316)。當蝕刻完成時,停止連續氣體及脈衝氣體的流動(步驟320)。將已受到徹底蝕刻的堆疊從電漿處理腔室移除(步驟324)。
圖4為電漿處理系統400的示意圖,包含可在該發明此實施例中加以使用的電漿處理工具401。電漿處理工具401係電感式耦合電漿蝕刻工具,並且包含於其內具有電漿處理腔室404的電漿反應器402。變壓耦合功率(TCP,transformer coupled power)控制器450及偏壓功率控制器455分別地控制著影響電漿處理腔室404中所產生之電漿424的TCP電源451及偏壓電源456。
TCP控制器450為TCP電源451設置一設定點,該TCP電源451係用以供應13.56MHz射頻訊號(由TCP匹配網路452加以調諧)至位於電漿處理腔室404附近的TCP線圈453。設置RF透明窗454,以使TCP線圈453與電漿處理腔室404隔開,卻容許能量通過TCP線圈453到達電漿處理腔室404。
偏壓功率控制器455為偏壓電源456設置一設定點,該偏壓電源456係用以供應RF訊號(由偏壓匹配網路457加以調諧)至位於電漿處理腔室404內的夾持電極408,在用來接收正受處理之基板204的電極408之上產生直流(DC)偏壓。
氣體供應機構或氣體源410包含經由氣體歧管417而附接的氣體(複數)來源416,以將製程所需之適當化學成分供應至電漿處理腔室404的內部。在此範例中,氣體來源416包含至少一H2氣體來源481、一He氣體來源482、及一鹵素氣體來源483。排氣機構418包含壓力控制閥419及排氣泵420,並且排氣機構418將粒子從電漿處理腔室404內移除,並在電漿處理腔室404內維持特定壓力。
溫度控制器480藉由控制冷卻電源484而控制設置於夾持電極408內之冷卻再循環系統的溫度。電漿處理系統也包含電子控制電路470,其可用來控制偏壓功率控制器455、TCP控制器450、溫度控制器480、以及其他控制系統。電漿處理系統400也可具有端點偵測器。如此電感式耦合系統的範例是由Lam Research Corporation of Fremont,CA,建立的Kiyo,其係用來蝕刻矽、多晶矽、及傳導層。在該發明其他實施例中,可使用電容式耦合系統。
圖5為顯示電腦系統500的高階方塊圖,電腦系統500係適用於實施本發明實施例中所使用的控制電路470。電腦系統可具有在積體電路、印刷電路板、及小型手持裝置乃至大型超級電腦之範圍內的許多實體形式。電腦系統500包含一或更多處理器502,並且可更包含電子顯示裝置504(用以顯示圖形、文本、或其他資料)、主記憶體506(例如,隨機存取記憶體(RAM))、儲存裝置508(例如,硬碟機)、可移除式儲存裝置510(例如,光碟機)、使用者介面裝置512(例如,鍵盤、觸控螢幕、小型鍵盤、滑鼠或其他指向裝置等)、以及通訊介面514(例如,無線網路介面)。通訊介面514容許軟體及資料經由連結而在電腦系統500及外部裝置之間傳輸。系統也可包含與前面提及的裝置/模組係相連接的通訊設施516(例如,通訊匯流排、交叉條(cross-over bar)、或網路)。
經由通訊介面514所傳輸的資訊,可係如電子訊號、電磁訊號、光學訊號、或能夠經由通訊連結所傳輸而被通訊介面514接收的其他訊號之訊號的形式,該通訊連接承載信號並且可使用導線或纜線、光纖、電話線、行動電話連結、射頻連結、及/或其他通訊管道而加以實施。利用此通訊介面,預期一或更多處理器502在執行上述方法步驟的過程中可接收來自網路的資訊、或 者可將資訊輸出至網路。更進一步,本發明的方法實施例可僅根據處理器執行,或者可經由網路執行,例如與分擔部分處理之遠端處理器相結合的網際網路。
用語「非暫態電腦可讀媒體」一般係用來指如主記憶體、輔助記憶體、可移除式儲存器、以及儲存裝置(例如,硬碟、快閃記憶體、磁碟機記憶體、CD-ROM、及其他形式的永久記憶體)的媒體,並且不應被解釋為涵蓋暫態的標的,例如載波或訊號。電腦編碼的範例包含機器編碼,例如由編譯器產生的編碼、以及包含藉由電腦使用譯碼器而加以執行之較高階編碼的檔案。電腦可讀媒體也可為藉由電腦資料訊號而傳送的電腦編碼,該電腦資料訊號係體現於載波中,並且代表可藉由處理器而執行的一序列指令。
圖6為脈衝製程之範例的示意性說明。線604顯示使He氣體的流動保持恆定地提供連續氣體(步驟308)。在此範例中,He氣體的流量係介於200至1000sccm之間。線608顯示H2氣體的脈衝流動。在此範例中,H2氣體的流動具有15至30秒之時間段的高流量、以及1至5秒之時間段的低流量。在此範例中,H2的高流量係自100至1000sccm。在此範例中,H2的低流量係自0至90sccm。如顯示,H2的流量在如藉由時間線624所顯示的t0與t1之間、t2與t3之間、t4與t5之間、及t6之後的時間段係高的。線612顯示含鹵素氣體的脈衝流動。在此範例中,含鹵素氣體的流動具有1至5秒之時間段的高流量、以及15至30秒之時間段的低流量。在此範例中,含鹵素氣體的高流量係自500至1000sccm。在此範例中,含鹵素氣體的低流量係自0至100sccm。如顯示,含鹵素氣體的流量在t0與t1之間、t2與t3之間、t4與t5之間、及t6之後的時間段係低的。較佳地,含鹵素氣體包含HBr、BCl3、Cl2、CF4、或NF3其中至少一者。因為H2氣體的高流量係在含鹵素氣體的低流量期間,所以該複數氣體係 異相脈衝。因為含鹵素氣體的高流量也恰好係在H2氣體的低流量期間,所以該複數氣體係完全異相的脈衝。
線616顯示在此範例中,TCP功率係連續的、且恆定的。在此範例中,TCP功率係在200至1000瓦特的範圍內。在其他範例中,TCP功率可係脈衝的。線620顯示在此範例中,偏壓功率係連續的、且恆定的。在此範例中,偏壓功率係在50至400伏特的範圍內。在其他範例中,偏壓功率可係脈衝的。TCP功率使連續氣體及脈衝氣體形成為電漿(步驟312)。
已被發現的是,藉由使氫氣脈衝化、且在關閉含鹵素氣體之流動的大部分時間保持高流量,便獲得更高的阻障膜蝕刻速率。僅使含鹵素氣體進行短時間流動。氫係用來減少銅腐蝕效應。可對打開及關閉的時段進行調整,以使阻障物打開蝕刻深度與銅腐蝕製程窗平衡。
利用不腐蝕或損壞銅結構的完全乾式的製程移除阻障膜的能力提供一種單腔室製程。如此的製程將更為簡單。在其他實施例中,可使用電容式耦合功率(CCP,capacitively coupled power)裝置。在其他實施例中,可用其他裝置來產生電漿,例如遠端電漿產生器。在其他實施例中,連續氣體係惰性載體氣體。較佳地,連續氣體係如He、Ar、或Ne的惰性氣體。較佳地,H2高流量時段對含鹵素氣體高流量時段的比例係介於2:1至20:1(含)之間。更佳地,H2高流量時段對含鹵素氣體高流量時段的比例係介於3:1至15:1(含)之間。
在另一實施例中,可在至少一個濕式及乾式循環之後設置脈衝氣體製程。
儘管此發明已就若干較佳的實施例而加以描述,但仍有落於此發明之範疇內的改變、置換、及各種替代等價物。也應該注意,有許多替代的方 式來實施本發明的方法及設備。因此意圖將以下隨附申請專利範圍解釋為包含落於本發明之範疇及真正精神內的所有如此之改變、置換、及各種替代等價物。
304‧‧‧步驟
308‧‧‧步驟
312‧‧‧步驟
316‧‧‧步驟
320‧‧‧步驟
324‧‧‧步驟

Claims (22)

  1. 一種在堆疊中銅結構下方打開一阻障膜的方法,包含:將該堆疊放置於一乾式的電漿處理腔室中;提供一脈衝氣體至該電漿處理腔室中,其中提供該脈衝氣體包含:提供一脈衝的含H2氣體;以及提供一脈衝的含鹵素氣體,其中該脈衝的含H2氣體及該脈衝的含鹵素氣體係異相脈衝,並且其中該脈衝的含H2氣體具有一H2的高流量時段且該脈衝的含鹵素氣體具有一含鹵素氣體的高流量時段,其中該H2的高流量時段係大於該含鹵素氣體的高流量時段;使該脈衝氣體形成為電漿;使該銅結構及該阻障膜暴露至該電漿,該電漿蝕刻該阻障膜。
  2. 如申請專利範圍第1項之在堆疊中銅結構下方打開一阻障膜的方法,其中使該脈衝氣體形成為電漿包含:在提供該脈衝氣體至該電漿處理腔室中期間,提供一恆定的RF功率訊號;及在提供該脈衝氣體至該電漿處理腔室中期間,提供一恆定的偏壓功率。
  3. 如申請專利範圍第2項之在堆疊中銅結構下方打開一阻障膜的方法,更包含在提供該脈衝氣體至該電漿處理腔室中的期間,一惰性載體氣體的恆定流動。
  4. 如申請專利範圍第3項之在堆疊中銅結構下方打開一阻障膜的方法,其中該含鹵素氣體包含HBr、BCl3、Cl2、CF4、或NF3其中至少一者。
  5. 如申請專利範圍第1項之在堆疊中銅結構下方打開一阻障膜的方法,其中該H2的高流量時段對該含鹵素氣體的高流量時段的比例係介於2:1至20:1(含)之間。
  6. 如申請專利範圍第5項之在堆疊中銅結構下方打開一阻障膜的方法,其中該含H2氣體及含鹵素氣體係完全異相的脈衝。
  7. 如申請專利範圍第1項之在堆疊中銅結構下方打開一阻障膜的方法,更包含在提供該脈衝氣體至該電漿處理腔室中期間,一惰性載體氣體的恆定流動。
  8. 如申請專利範圍第1項之在堆疊中銅結構下方打開一阻障膜的方法,其中該阻障膜包含Co、Ru、或Ta、Ti、TaN、或TiN其中至少一者。
  9. 如申請專利範圍第1項之在堆疊中銅結構下方打開一阻障膜的方法,其中使該脈衝氣體形成至一電漿中,包含:在提供該脈衝氣體至該電漿處理腔室中期間,提供一脈衝的RF功率訊號;及在提供該脈衝氣體至該電漿處理腔室中期間,提供一脈衝的偏壓功率。
  10. 一種在堆疊中銅結構下方打開阻障膜的方法,該阻障膜包含Co、Ru、或Ta、Ti、TaN、或TiN其中至少一者,該方法包含:將該堆疊放置於一乾式的電漿處理腔室中;提供一惰性載體氣體的恆定流動;提供一脈衝氣體至該電漿處理腔室中,其中提供該脈衝氣體包含:提供一脈衝的含H2氣體;以及提供一脈衝的含鹵素氣體,其包含HBr、BCl3、Cl2、CF4、或NF3其中至少一者,其中該脈衝的含H2氣體及該脈衝的含鹵素氣體係異相脈衝, 並且其中該脈衝的含H2氣體具有一H2的高流量時段且該脈衝的含鹵素氣體具有一含鹵素氣體的高流量時段,其中該H2的高流量時段對該含鹵素氣體的高流量時段的比例係介於2:1至20:1(含)之間;使該恆定流動的氣體及脈衝氣體形成為一電漿,包含:在提供該恆定流動的氣體及脈衝氣體至該電漿處理腔室中的期間,提供介於200與1000瓦特之間的一RF功率訊號;及在提供該恆定流動的氣體及脈衝氣體至該電漿處理腔室中的期間,提供介於50與500伏特之間的一偏壓功率;使該銅結構及該阻障膜暴露至該電漿,該電漿蝕刻該阻障膜。
  11. 一種在銅結構下方打開一阻障膜的方法,包含提供包括至少一循環的一濕式及乾式處理,其中每一循環包含以下序列步驟:提供該銅結構下方該阻障膜的一濕式處理;提供該銅結構下方該阻障膜的一乾式電漿濺射;以及在提供該濕式及乾式處理之後,提供一乾式的含鹵素蝕刻,包含:提供一連續的惰性氣體;提供一脈衝的H2氣體;提供一脈衝的含鹵素氣體,其係與該脈衝的H2氣體異相;以及形成一電漿,其蝕刻殘留的阻障膜,其中使該循環重複至少三次,其中提供該濕式處理係提供一酸、緩衝劑、或螯合劑槽浴、或包含乙醯丙酮(acetyl acetone)、六氟乙醯丙酮(hexafluoroacetylacetone)、或過氧化氫(hydrogen peroxide)其中至少一者的槽浴,以及 其中該阻障膜包含Co、Ru、或Ta、Ti、TaN、TiN其中至少一者。
  12. 如申請專利範圍第11項之在銅結構下方打開一阻障膜的方法,更包含:在提供該濕式及乾式處理之後、且在殘留阻障膜之該乾式的含鹵素蝕刻之前,於該銅結構上提供一碳、SiN、或鋁氧化物沉積物。
  13. 如申請專利範圍第11項之在銅結構下方打開一阻障膜的方法,其中提供該含鹵素蝕刻,包含:提供包含H2及一鹵素的一蝕刻氣體;以及使該蝕刻氣體形成為一電漿。
  14. 如申請專利範圍第11項之在銅結構下方打開一阻障膜的方法,其中該乾式電漿濺射係無鹵素的。
  15. 如申請專利範圍第11項之在銅結構下方打開一阻障膜的方法,其中該乾式電漿濺射具有一低的鹵素成分,使得其不會導致銅腐蝕。
  16. 如申請專利範圍第11項之在銅結構下方打開一阻障膜的方法,更包含提供介於50至400伏特之間的一偏壓,並且其中形成該電漿包含提供200至1000瓦特的一RF功率。
  17. 一種在銅結構下方打開一阻障膜的方法,包含提供包括至少一循環的一濕式及乾式處理,其中每一循環包含以下序列步驟:提供該銅結構下方該阻障膜的一濕式處理;提供該銅結構下方該阻障膜的一乾式電漿濺射;以及在提供該濕式及乾式處理之後,提供一乾式的含鹵素蝕刻,包含:提供一連續的惰性氣體;提供一脈衝的H2氣體; 提供一脈衝的含鹵素氣體,其係與該脈衝的H2氣體異相;以及形成一電漿,其蝕刻殘留的阻障膜。
  18. 如申請專利範圍第17項之在銅結構下方打開一阻障膜的方法,更包含:在提供該濕式及乾式處理之後、且在殘留阻障膜之該乾式的含鹵素蝕刻之前,於該銅結構上提供一碳、SiN、或鋁氧化物沉積物。
  19. 如申請專利範圍第17項之在銅結構下方打開一阻障膜的方法,其中提供該含鹵素蝕刻,包含:提供包含H2及一鹵素的一蝕刻氣體;以及使該蝕刻氣體形成為一電漿。
  20. 如申請專利範圍第17項之在銅結構下方打開一阻障膜的方法,其中該乾式電漿濺射係無鹵素的。
  21. 如申請專利範圍第17項之在銅結構下方打開一阻障膜的方法,其中該乾式電漿濺射具有一低的鹵素成分,使得其不會導致銅腐蝕。
  22. 如申請專利範圍第17項之在銅結構下方打開一阻障膜的方法,更包含提供介於50至400伏特之間的一偏壓,並且其中形成該電漿包含提供200至1000瓦特的一RF功率。
TW104133125A 2014-10-09 2015-10-08 銅阻障膜之蝕刻方法 TWI690992B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462061774P 2014-10-09 2014-10-09
US62/061,774 2014-10-09
US14/579,822 US9570320B2 (en) 2014-10-09 2014-12-22 Method to etch copper barrier film
US14/579,822 2014-12-22

Publications (2)

Publication Number Publication Date
TW201628083A TW201628083A (zh) 2016-08-01
TWI690992B true TWI690992B (zh) 2020-04-11

Family

ID=55655956

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104133125A TWI690992B (zh) 2014-10-09 2015-10-08 銅阻障膜之蝕刻方法

Country Status (4)

Country Link
US (1) US9570320B2 (zh)
JP (1) JP6749749B2 (zh)
KR (1) KR102516921B1 (zh)
TW (1) TWI690992B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9899234B2 (en) * 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
JP6761166B2 (ja) * 2015-07-23 2020-09-23 セントラル硝子株式会社 ウェットエッチング方法及びエッチング液
US11282714B2 (en) * 2016-07-26 2022-03-22 Central Glass Company, Limited Etching method and etching device
KR102492733B1 (ko) * 2017-09-29 2023-01-27 삼성디스플레이 주식회사 구리 플라즈마 식각 방법 및 디스플레이 패널 제조 방법
US11322364B2 (en) * 2020-04-01 2022-05-03 Tokyo Electron Limited Method of patterning a metal film with improved sidewall roughness
KR102574751B1 (ko) * 2021-12-07 2023-09-06 인하대학교 산학협력단 구리 박막의 건식 식각방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US20110074030A1 (en) * 2009-09-30 2011-03-31 Macronix International Co., Ltd. METHOD FOR PREVENTING Al-Cu BOTTOM DAMAGE USING TiN LINER
TW201137970A (en) * 2009-12-11 2011-11-01 Novellus Systems Inc Low damage photoresist strip method for low-k dielectrics
TW201409614A (zh) * 2012-05-29 2014-03-01 Novellus Systems Inc 在氣隙形成期間金屬內連線之選擇性加蓋
US20140179111A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Selective titanium nitride etching

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08111420A (ja) * 1994-10-12 1996-04-30 Fujitsu Ltd 半導体装置の製造方法及び製造装置
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6177337B1 (en) 1998-01-06 2001-01-23 International Business Machines Corporation Method of reducing metal voids in semiconductor device interconnection
JP3490669B2 (ja) * 2000-07-18 2004-01-26 株式会社日立製作所 不揮発性材料のエッチング方法および装置
KR101492467B1 (ko) * 2008-08-20 2015-02-11 에이씨엠 리서치 (상하이) 인코포레이티드 베리어층 제거 방법 및 장치
JP2014086500A (ja) * 2012-10-22 2014-05-12 Tokyo Electron Ltd 銅層をエッチングする方法、及びマスク
JP2014183184A (ja) * 2013-03-19 2014-09-29 Tokyo Electron Ltd コバルト及びパラジウムを含む膜をエッチングする方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US20110074030A1 (en) * 2009-09-30 2011-03-31 Macronix International Co., Ltd. METHOD FOR PREVENTING Al-Cu BOTTOM DAMAGE USING TiN LINER
TW201137970A (en) * 2009-12-11 2011-11-01 Novellus Systems Inc Low damage photoresist strip method for low-k dielectrics
TW201409614A (zh) * 2012-05-29 2014-03-01 Novellus Systems Inc 在氣隙形成期間金屬內連線之選擇性加蓋
US20140179111A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Selective titanium nitride etching

Also Published As

Publication number Publication date
JP6749749B2 (ja) 2020-09-02
KR102516921B1 (ko) 2023-03-31
US20160104630A1 (en) 2016-04-14
TW201628083A (zh) 2016-08-01
US9570320B2 (en) 2017-02-14
KR20160042396A (ko) 2016-04-19
JP2016105461A (ja) 2016-06-09

Similar Documents

Publication Publication Date Title
TWI690992B (zh) 銅阻障膜之蝕刻方法
TWI650886B (zh) 非揮發性金屬材料之蝕刻方法
Nojiri Dry etching technology for semiconductors
US9330926B2 (en) Fabrication of a silicon structure and deep silicon etch with profile control
TW540114B (en) Substrate cleaning apparatus and method
TW202002722A (zh) 電漿處理方法及電漿處理裝置
US8435419B2 (en) Methods of processing substrates having metal materials
JP4825911B2 (ja) 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
KR20130135767A (ko) 플라즈마를 이용한 비휘발성 재료들의 층-층 에칭
KR20080018110A (ko) 플라즈마 에칭 성능 강화 방법
US10053773B2 (en) Method of cleaning plasma processing apparatus
TW201711103A (zh) 無殘留物之氧化層蝕刻
KR101723685B1 (ko) 저유전율 유전체 손상이 감소된 박리
TW201442108A (zh) 在原處之金屬殘餘物清潔
TWI485771B (zh) Semiconductor processing methods
JP2003303808A (ja) 半導体装置の製造方法
US6921493B2 (en) Method of processing substrates
KR101127778B1 (ko) 구리 표면의 표면 환원, 패시베이션, 부식 방지 및 활성화 시스템과 방법
JP2016032117A (ja) タングステン含有層をエッチングする方法
Liu et al. Study of photoresist plug etching back with CO/O2 plasma for dense-ISO via recess loading tunning
US8846528B2 (en) Method of modifying a low k dielectric layer having etched features and the resulting product
JPH11251292A (ja) ハロゲン含有ガスによる処理方法及びその装置
TW201816886A (zh) 以側壁濺射達成之線邊緣粗糙度改良