TWI687496B - TiN-SiN CMP應用之高選擇性的氮化物抑制劑 - Google Patents

TiN-SiN CMP應用之高選擇性的氮化物抑制劑 Download PDF

Info

Publication number
TWI687496B
TWI687496B TW107128159A TW107128159A TWI687496B TW I687496 B TWI687496 B TW I687496B TW 107128159 A TW107128159 A TW 107128159A TW 107128159 A TW107128159 A TW 107128159A TW I687496 B TWI687496 B TW I687496B
Authority
TW
Taiwan
Prior art keywords
polishing composition
surfactant
removal rate
polishing
functional group
Prior art date
Application number
TW107128159A
Other languages
English (en)
Other versions
TW201920532A (zh
Inventor
簡智賢
邱逸閎
黃宏聰
葉銘智
Original Assignee
美商卡博特微電子公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商卡博特微電子公司 filed Critical 美商卡博特微電子公司
Publication of TW201920532A publication Critical patent/TW201920532A/zh
Application granted granted Critical
Publication of TWI687496B publication Critical patent/TWI687496B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本發明提供一種化學機械拋光組合物,其包含(a)磨料顆粒,(b)移除速率抑制劑,其選自(I)包含聚氧化烯官能基及磺酸根官能基之表面活性劑、(II)包含聚氧化烯官能基及硫酸根官能基之表面活性劑、(III)包含聚氧化烯官能基之第一表面活性劑及包含磺酸根官能基之第二表面活性劑及(IV)包含聚氧化烯官能基之第一表面活性劑及包含硫酸根官能基之第二表面活性劑,及(c)水性載劑。本發明亦提供利用本發明化學機械拋光組合物對包含TiN及SiN之基板進行化學機械拋光之方法。

Description

TiN-SiN CMP應用之高選擇性的氮化物抑制劑
在先進半導體器件(記憶及邏輯二者)之製造中,某些整合方案需要選擇性移除金屬(例如Cu、CuMn、Ta、TaN、Al、AlCo、Co、CoMo、Ru、RuTa、RuTiN、Mn、TiN (自停)、W、Pt)、電介質(例如氧化矽、氮化矽、碳化矽、多晶矽)或聚合物(例如光反應性(PR)、旋塗式玻璃(SOG)型氧化物)且在到達障壁層時停止移除(通常稱為「停在障壁上」)。業內熟知用於基板表面之化學機械拋光(CMP)之組合物及方法。用於半導體基板(例如,用於積體電路製造)表面之CMP之拋光組合物(亦稱為拋光漿體、CMP漿體及CMP組合物)通常含有磨料及各種添加劑化合物。在自氮化鈦(TiN)及氮化矽(SiN)形成之障壁層之情形下,停在障壁上製程可能較為困難,此乃因典型CMP組合物並不特定選擇性地移除相對於TiN或SiN層上覆之金屬層。
停在障壁上技術之一個具體應用係鎢閘極形成,其涉及CMP移除佈置於氧化物基板上方之障壁層上之鎢層。氧化物基板之凹陷內襯有障壁層之一部分且用鎢金屬填充。在拋光期間,藉由CMP將鎢層移除向下直至障壁層之平面部分。然後藉由拋光移除凹陷內之鎢部分以形成閘極結構。停在障壁上技術之一個主要困難係不合意地移除障壁層之平面部分,此可產生較低閘極高度或其他問題。通常,當障壁層暴露時,CMP組合物之選擇性不足以可靠且一致地停止材料移除。舉例而言,獲得TiN及SiN之適宜選擇性對於鎢閘極擦光應用係合意的,此乃因TiN及SiN選擇性在控制閘極高度方面起作用。
儘管有對CMP組合物及方法之當前理解,業內仍需要提供障壁層(例如TiN或SiN)上方之適宜金屬層移除(例如鎢)、同時獲得適宜障壁層選擇性(TiN:SiN)之CMP組合物及方法。本文所述之方法及組合物解決了此需要。
本發明提供適於拋光基板(例如包含TiN及SiN之基板)之CMP組合物及方法。
本發明提供化學機械拋光組合物,其包含(a)磨料顆粒,(b)移除速率抑制劑,其選自(I)包含聚氧化烯官能基及磺酸根官能基之表面活性劑、(II)包含聚氧化烯官能基及硫酸根官能基之表面活性劑、(III)包含聚氧化烯官能基之第一表面活性劑及包含磺酸根官能基之第二表面活性劑及(IV)包含聚氧化烯官能基之第一表面活性劑及包含硫酸根官能基之第二表面活性劑,及(c)水性載劑。
本發明亦提供化學機械拋光基板之方法,其包含(i)提供包含基板表面上之TiN層及基板表面上之SiN層的基板;(ii)提供拋光墊;(iii)提供化學機械拋光組合物,其包含(a)磨料顆粒,(b)移除速率抑制劑,其選自(I)包含聚氧化烯官能基及磺酸根官能基之表面活性劑、(II)包含聚氧化烯官能基及硫酸根官能基之表面活性劑、(III)包含聚氧化烯官能基之第一表面活性劑及包含磺酸根官能基之第二表面活性劑及(IV)包含聚氧化烯官能基之第一表面活性劑及包含硫酸根官能基之第二表面活性劑,及(c)水性載劑;(iv)使基板與拋光墊及化學機械拋光組合物接觸;及(v)相對於基板移動拋光墊及化學機械拋光組合物以磨蝕基板表面上之TiN層之至少一部分及基板表面上之SiN層之至少一部分以拋光基板,其中TiN層之選擇性移除快於SiN層,且其中TiN:SiN移除速率選擇性大於15:1。
本發明提供化學機械拋光組合物,其包含(a)磨料顆粒、(b)移除速率抑制劑及(c)水性載劑、基本上由其組成、或由其組成。本發明亦提供化學機械拋光包含TiN層及SiN層之基板之方法,其中TiN層之選擇性移除快於SiN層。
磨料顆粒可為任何適宜磨料顆粒。說明性磨料顆粒包括例如以下之金屬氧化物磨料顆粒:鋁氧(例如氧化鋁)、矽氧(例如二氧化矽)、鈰氧(例如氧化鈰)、鋯氧(例如氧化鋯)、鈦氧(例如二氧化鈦)、鍺氧(例如二氧化鍺、氧化鍺)、鎂氧(例如氧化鎂)、其共形成產物或其組合。金屬氧化物磨料顆粒可為任何適宜類型之金屬氧化物顆粒,例如發煙金屬氧化物顆粒、濕法金屬氧化物顆粒(例如沈澱之金屬氧化物顆粒或縮聚之金屬氧化物顆粒)、膠體金屬氧化物顆粒或表面經修飾之金屬氧化物顆粒(例如聚合物表面修飾)。
與本發明方法之態樣一致,拋光組合物可包含任何適宜之磨料顆粒,條件係本發明拋光方法產生快於SiN層選擇性移除之TiN層。在本發明方法之一些實施例中,拋光組合物包含鋁氧顆粒,其中鋁氧顆粒具有包含陰離子聚合物之表面。
在一些實施例中,拋光組合物包含膠體矽氧顆粒。說明性膠體矽氧顆粒包括例如來自FUSO Chemical Co. (Tokyo, Japan)之PL-3D及PL-2膠體矽氧(平均粒徑分別為約35 nm及約25 nm)。
在較佳實施例中,磨料顆粒選自鋁氧顆粒、膠體矽氧顆粒及其組合,其中鋁氧顆粒具有包含陰離子聚合物之表面。在另一較佳實施例中,磨料顆粒包含鋁氧顆粒、基本上由其組成或由其組成,其中鋁氧顆粒具有包含陰離子聚合物之表面。在另一較佳實施例中,磨料顆粒包含膠體矽氧顆粒、基本上由其組成或由其組成。
鋁氧顆粒可為發煙鋁氧顆粒或非發煙α-鋁氧顆粒。發煙鋁氧顆粒通常係呈一級顆粒之聚集物形式,該等聚集物在無顯著能量輸入下不容易降解成個別一級顆粒。儘管一級顆粒通常呈球形,但聚集物係一級顆粒之鏈狀結構且通常不為球形。非發煙α-鋁氧顆粒係鋁氧之結晶型且通常不形成聚集物。在較佳實施例中,鋁氧顆粒係非發煙α-鋁氧顆粒。
磨料顆粒(例如膠體矽氧顆粒、具有包含陰離子聚合物之表面之鋁氧顆粒)可具有任何適宜之粒徑。顆粒之粒徑係涵蓋顆粒之最小球體之直徑。磨料顆粒可具有以下平均粒徑:約10 nm或更大,例如約15 nm或更大、約20 nm或更大、約25 nm或更大、約35 nm或更大、約45 nm或更大、約50 nm或更大、約55 nm或更大、約60 nm或更大、約75 nm或更大或約100 nm或更大。或者或另外,磨料顆粒可具有以下平均粒徑:約1,000 nm或更小,例如約950 nm或更小、約950 nm或更小、約900 nm或更小、約850 nm或更小、約800 nm或更小、約750 nm或更小、約700 nm或更小、約650 nm或更小、約600 nm或更小、約650 nm或更小、約500 nm或更小、約475 nm或更小、約450 nm或更小、約425 nm或更小、約400 nm或更小、約375 nm或更小、約350 nm或更小、約325 nm或更小、約300 nm或更小、約275 nm或更小、約250 nm或更小、約225 nm或更小、約200 nm或更小、約175 nm或更小、約160 nm或更小、約150 nm或更小、約125 nm或更小、約115 nm或更小、約100 nm或更小、約90 nm或更小或約80 nm或更小。舉例而言,鈰氧、鋯氧、矽氧及鋁氧顆粒可具有以下平均粒徑:約25 nm至約250 nm,例如約35 nm至約200 nm、約45 nm至約150 nm、約50 nm至約125 nm、約55 nm至約120 nm或約60 nm至約115 nm。因此,磨料顆粒可具有由任兩個上文所提及終點界定之平均粒徑。舉例而言,磨料顆粒可具有以下平均粒徑:約10 nm至約1,000 nm、約25 nm至約950 nm、約30 nm至約900 nm、約35 nm至約850 nm、約40 nm至約800 nm、約45 nm至約750 nm、約50 nm至約700 nm、約55 nm至約650 nm、約60 nm至約600 nm、約75 nm至約550 nm、約100 nm至約500 nm、約25 nm至約450 nm、約30至約400 nm、約35 nm至約350 nm、約40 nm至約300 nm、約45 nm至約250 nm、或約50 nm至約200 nm。
磨料顆粒之粒徑可使用任一適宜技術(例如光繞射技術)來量測。適宜粒徑量測儀器可自例如Malvern Instruments (Malvern, UK)購得。
化學機械拋光組合物可包含任何適宜量之磨料顆粒。若組合物包含過少磨料顆粒,則組合物可能不會展現足夠移除速率。相反,若拋光組合物包含過多之磨料顆粒,則組合物可能展現不合意之拋光性能,可能不會成本有效及/或可能缺乏穩定性。因此,磨料顆粒可以下列濃度存在於拋光組合物中:約10 wt.%或更小,例如約9 wt.%或更小、約8 wt.%或更小、約7 wt.%或更小、約6 wt.%或更小、約5 wt.%或更小、約4 wt.%或更小、約3 wt.%或更小或約2 wt.%或更小。或者或另外,磨料顆粒可以下列濃度存在於拋光組合物中:約0.001 wt.%或更大,例如約0.005 wt.%或更大、約0.01 wt.%或更大、約0.05 wt.%或更大、約0.1 wt.%或更大、約0.5 wt.%或更大、約1 wt.%或更大或約1.5 wt.%或更大。因此,磨料顆粒可以由任兩個上文所提及之終點界定之濃度存在於拋光組合物中。舉例而言,磨料顆粒可以下列濃度存在於拋光組合物中:約0.001 wt.%至約10 wt.%,例如約0.005 wt.%至約9 wt.%、約0.01 wt.%至約8 wt.%、約0.05 wt.%至約7 wt.%、約0.1 wt.%至約6 wt.%、約0.5 wt.%至約5 wt.%、約1 wt.%至約4 wt.%、或約1.5 wt.%至約3 wt.%。
在實施例中,磨料顆粒係以約0.01 wt.%至約1 wt.%之濃度存在於拋光組合物中。在另一實施例中,磨料顆粒係以約0.03 wt.%至約1 wt.%之濃度存在於拋光組合物中。
在將磨料顆粒懸浮於拋光組合物中時,磨料顆粒合意地係膠體穩定的。如本文所用術語「膠體穩定」係指磨料顆粒於液體載劑(例如水)中之懸浮液且係指該懸浮液隨時間之維持。在本發明之上下文中,若在將磨料顆粒置於100 mL量筒中並使其無攪動靜置2小時時,量筒底部50 mL中之顆粒濃度([B],以g/mL表示)與量筒頂部50 mL中之顆粒濃度([T],以g/mL表示)之間之差除以磨料組合物中之顆粒初始濃度([C],以g/mL表示)小於或等於0.5 (即,{[B]-[T]}/[C] ≦0.5),則認為該等磨料顆粒具有膠體穩定性。[B]-[T]/[C]之值合意地小於或等於0.3,且較佳小於或等於0.1。
鋁氧顆粒較佳具有包含陰離子聚合物(例如經其塗覆)之表面。陰離子聚合物與懸浮於水性載劑中或固定於拋光墊上之鋁氧顆粒相互作用(通常以靜電方式),以塗覆磨料顆粒表面之至少一部分。具體而言,陰離子聚合物與在CMP組合物之pH下具有適宜ζ電位之鋁氧顆粒締合。ζ電位係指橫跨固體及液體之界面之電位,特定而言橫跨帶電膠體顆粒(例如鋁氧顆粒)周圍之離子之擴散層之電位。鋁氧顆粒之ζ電位將隨pH而變化。舉例而言,具有正ζ電位之鋁氧顆粒可與陰離子聚合物靜電相互作用。另外,具有在鋁氧顆粒表面上具有足夠正位點之稍負ζ電位之鋁氧顆粒可與一或多種陰離子聚合物靜電相互作用。鋁氧顆粒在CMP組合物之pH下較佳具有正ζ電位。鋁氧顆粒之ζ電位在陰離子聚合物與鋁氧顆粒相互作用時降低。
由於α-鋁氧之顆粒在未經處理/未塗覆狀態下通常具有帶正電之表面,因此陰離子聚合物與α-鋁氧顆粒之締合導致聚合物或共聚物上之酸性官能基之至少一部分去質子化,從而使聚合物帶負電與鋁氧顆粒締合。
鋁氧顆粒之表面可使用任何適宜量之陰離子聚合物塗覆。舉例而言,鋁氧顆粒之約5 wt.%或更大(例如約10 wt.%或更大、約20 wt.%或更大、約30 wt.%或更大、約50 wt.%或更大、實質上所有或所有)表面可使用陰離子聚合物塗覆。因此,陰離子聚合物之存在可產生部分或完全塗覆之磨料(例如部分或完全塗覆之鋁氧顆粒或部分或完全塗覆之磨料拋光墊)。
陰離子聚合物可為任何適宜之陰離子聚合物或共聚物。通常,陰離子聚合物包含選自以下之重複單元:羧酸官能基、磺酸官能基、膦酸官能基及其組合。較佳地,陰離子聚合物包含選自以下之重複單元:丙烯酸、甲基丙烯酸、伊康酸、馬來酸、馬來酸酐、乙烯基磺酸、2-(甲基丙烯醯基氧基)乙磺酸、苯乙烯磺酸、2-丙烯醯胺基-2-甲基丙烷磺酸、乙烯基膦酸、磷酸2-(甲基丙烯醯基氧基)乙基酯及其組合。更佳地,陰離子聚合物選自聚(2-丙烯醯胺基-2-甲基丙烷磺酸)及聚苯乙烯磺酸。
基於鋁氧顆粒之重量,陰離子聚合物對鋁氧顆粒之重量比通常為約0.01或更大(例如約0.05或更大、約0.1或更大、約0.16或更大或約0.2或更大)。較佳地,陰離子聚合物對鋁氧顆粒之重量比為約3或更小(例如約2或更小或約1或更小)。
鋁氧顆粒可使用陰離子聚合物在任何適宜時間處理。舉例而言,鋁氧顆粒可使用陰離子聚合物在製備預處理鋁氧顆粒之單獨步驟中在將預處理鋁氧顆粒添加至拋光組合物之其他組分之前來處理。或者,可在將鋁氧顆粒添加至拋光組合物之前、期間或之後將陰離子聚合物單獨添加至拋光組合物。就此而言,陰離子聚合物對鋁氧顆粒之重量比將理解為係指拋光組合物中陰離子聚合物之總重量且並不限於結合至鋁氧顆粒之陰離子聚合物之重量。
本發明之製備具有包含陰離子聚合物之表面之鋁氧顆粒之說明性方法如下。將聚(2-丙烯醯胺基-2-甲基丙烷磺酸)溶解於去離子水中,且添加氫氧化鉀以將pH調整至3與4之間。然後添加消泡劑。將鋁氧顆粒(即,非發煙α-鋁氧顆粒)以500 mL/min之速率在40-45分鐘之時段內添加至混合物且使混合物經受高剪切混合達10分鐘。所得聚合物塗覆之顆粒具有約170 nm之平均粒徑且在2至9之pH範圍內具有小於-30 mV之ζ電位。
本發明之化學機械拋光組合物包含移除速率抑制劑。較佳地,移除速率抑制劑選自(I)包含聚氧化烯官能基及磺酸根官能基之表面活性劑、(II)包含聚氧化烯官能基及硫酸根官能基之表面活性劑、(III)包含聚氧化烯官能基之第一表面活性劑及包含磺酸根官能基之第二表面活性劑及(IV)包含聚氧化烯官能基之第一表面活性劑及包含硫酸根官能基之第二表面活性劑。不希望受限於任何具體理論,人們認為移除速率抑制劑之表面活性劑在拋光期間吸附至基板上。此外,移除速率抑制劑對基板之相對親和力至少部分地取決於基板之性質(例如基板之結構、基板之幾何學、存在於基板上之材料)及拋光條件。因此,本發明組合物及方法對給定基板之拋光性能(例如移除速率、選擇性、缺陷率等)可藉由改進移除速率抑制劑之性質來改變。
申請者已令人驚奇地發現,在本發明拋光組合物中存在包含聚氧化烯官能基(例如聚氧乙烯官能基)與一或多個磺酸根(-SO3 - )官能基及/或硫酸根(-SO4 2- )官能基之組合的移除速率抑制劑提供TiN相對於SiN之期望移除速率選擇性。移除速率抑制劑有利地改良在拋光期間本發明拋光組合物中TiN相對於SiN之選擇性,此促進改良之閘極高度控制及TiN殘餘物移除。不希望受限於任何具體理論,人們認為TiN相對於SiN之選擇性(例如TiN移除速率對SiN移除速率之比率)可藉由調整存在於本發明拋光組合物之移除速率抑制劑中之一或多個聚氧化烯官能基、一或多個磺酸根官能基及/或一或多個硫酸根官能基的相對濃度來控制。
本發明拋光組合物之移除速率抑制劑可以任一適宜方式提供。舉例而言,本發明拋光組合物之移除速率抑制劑可提供為單表面活性劑系統(例如單組分系統),亦即移除速率抑制劑包含包括同一表面活性劑分子內之聚氧化烯官能基及磺酸根官能基之表面活性劑、或包括同一表面活性劑分子內之聚氧化烯官能基及硫酸根官能基之表面活性劑。或者,移除速率抑制劑可提供為多組分系統(例如兩組分系統或三組分系統等),其中移除速率抑制劑可為多種化合物之混合物,其中第一表面活性劑包含聚氧化烯官能基且第二表面活性劑包含磺酸根及/或硫酸根官能基,其中多種化合物之混合物用作如本文所述之移除速率抑制劑。另外,多組分混合物之每一化合物可為表面活性劑,與混合物之性質無關。通常,移除速率抑制劑提供為多組分系統,其包含包括聚氧化烯官能基之第一表面活性劑及包括磺酸根官能基之第二表面活性劑、及/或包含包括聚氧化烯官能基之第一表面活性劑及包括硫酸根官能基之第二表面活性劑。
如本文所用術語「第一表面活性劑」及「第二表面活性劑」用於指包含聚氧化烯官能基、磺酸根官能基及/或硫酸根官能基之單獨表面活性劑,且該等術語並不指或暗示添加至本發明拋光組合物之順序。
此外,如熟習此項技術者所理解之術語「磺酸根」及「硫酸根」係指表面活性劑之陰離子形式,與表面活性劑係以鹽形式抑或酸形式添加至組合物無關。
移除速率抑制劑之聚氧化烯官能基可為任何適宜之聚氧化烯官能基。較佳地,聚氧化烯官能基係聚氧乙烯官能基。另一說明性聚氧化烯官能基係聚氧丙烯基團。舉例而言,包含聚氧化烯官能基之適宜表面活性劑係聚氧乙烯去水山梨醇單月桂酸酯(即,聚山梨醇酯20或聚氧乙烯(20)去水山梨醇單月桂酸酯),其可以商標名TWEEN™20自例如Croda, Inc.購得。聚氧乙烯去水山梨醇單月桂酸酯係在添加月桂酸之前自去水山梨醇之乙氧基化(20個聚乙二醇重複單元)形成之聚山梨醇酯型非離子表面活性劑。如業內已知,聚氧乙烯去水山梨醇單月桂酸酯可容易地以多個商標名自多個來源購得。
包含聚氧化烯官能基之其他適宜表面活性劑包括例如聚乙二醇(PEG)、聚丙二醇(PPG)、第三辛基苯氧基聚乙氧基乙醇(例如TRITON™ X-100) (Sigma-Aldrich, St. Louis, MO)、壬基酚聚氧乙烯醚(例如IGEPAL™ CO-630) (Sigma-Aldrich, St. Louis, MO)。
當TWEEN™20存在於本發明之CMP組合物中時,其係以包含磺酸根官能基或硫酸根官能基之至少一或多種表面活性劑(例如第二表面活性劑)存在。
與本發明之態樣一致,移除速率抑制劑包含包括磺酸根官能基或硫酸根官能基之表面活性劑。包含磺酸根官能基之適宜表面活性劑包括例如α-烯烴磺酸鹽(AOS)、聚苯乙烯磺酸(PSS)及其組合。
α-烯烴磺酸鹽(AOS)可為例如直鏈α-烯烴。直鏈α-烯烴包括例如1-丁烯、1-己烯、1-辛烯、1-癸烯、1-十二烯、1-十四烯、1-十六碳烯、1-十八烯及諸如此類。亦包括C20 -C24 、C24 -C30 及C20 -C30 烯烴之較高摻合物。通常,C10 -C14 直鏈α烯烴用於製備表面活性劑。舉例而言,該等化合物可與苯反應來製備直鏈烷基苯(LAB),其進一步磺酸化至直鏈烷基苯磺酸鹽(LABS)。
聚苯乙烯磺酸鹽係衍生自聚苯乙烯之聚合物,但其亦含有磺酸根官能基且通常以鈉形式或以鈣形式供應。
包含磺酸根官能基之其他適宜表面活性劑係可以商標名DOWFAX™自Dow Chemical Company (Midland, MI)購得之陰離子表面活性劑之磺酸化烷基二苯基氧化物系列,其特徵在於以下化學結構(I):
Figure 02_image001
其中R可為任一適宜基團,且X可為任一適宜陽離子。在實施例中,R係C1 -C30 直鏈或具支鏈、飽和或不飽和烷基。C1 -C30 烷基之說明性實例包括甲基、乙基、正丙基、異丙基、第二丁基、異丁基、第三丁基、戊基、異戊基、乙基-己基、己基、庚基、辛基、壬基、癸基、十二烷基及諸如此類。在一些實施例中,烷基含有一或多個選自由O及N組成之群之雜原子,且X+ 係H+ 或適宜陽離子。說明性陽離子包括IA族金屬(即,鹼金屬),例如鋰、鈉、鉀、銣、銫及鈁。在較佳實施例中,式(I)化合物中之R係C6 -C22 烷基。
適宜磺酸化烷基二苯基氧化物表面活性劑之實例包括可以商標名DOWFAX™ C10L、DOWFAX™ C6L、DOWFAX™ 3B2及DOWFAX™ 2A1自Dow Chemical Company (Midland, MI)購得之表面活性劑。
適於本文所述之CMP組合物中之包含磺酸根官能基之表面活性劑的其他非限制性實例包括烷基芳基磺酸鹽(例如烷基苯磺酸鹽,例如十二烷基苯磺酸鹽)、烷基磺酸鹽(例如烷基甘油酯磺酸鹽、烷基醚磺酸鹽及烷基磺基乙酸鹽)、磺基琥珀酸鹽(例如單烷基磺基琥珀酸鹽及二烷基磺基琥珀酸鹽)、醯基酒石酸鹽及醯基羥乙基磺酸鹽。
當本發明拋光組合物包含烷基芳基磺酸鹽時,烷基可連接至芳基(例如苯)部分之相對於磺酸基團之任一位置。烷基通常將包括6個以上之碳原子,其可為直鏈或具支鏈。具支鏈烷基可經由一級碳(例如亞甲基)、二級碳或三級碳連接至芳基部分。較佳烷基芳基磺酸鹽係十二烷基苯磺酸鹽,其中十二烷基可為具有總共12個碳之任一烷基,且因此可為直鏈或具支鏈。具支鏈十二烷基可經由一級碳(例如亞甲基)、二級碳或三級碳連接至苯部分。較佳地,十二烷基包含經由二級碳原子(即,在內部沿十二烷基鏈而非在鏈之一端)連接至苯基之直鏈十二烷基鏈。
包含硫酸根基團之適宜表面活性劑之實例係烷基硫酸鹽表面活性劑(例如月桂基硫酸鹽),包括其鹽(例如月桂基硫酸鈉及月桂基硫酸銨)。
包含包括聚氧化烯官能基之第一表面活性劑及包括磺酸根官能基之第二表面活性劑之說明性移除速率抑制劑係乙氧基化C6 -C12 醇(CAS 68439-45-2)及C10 -C14 烷基芳基磺酸鹽之混合物。此混合物可以商標名ΖETASPERSE™ 2300自例如Air Products (Allentown, PA)購得。
在實施例中,本發明拋光組合物包含包括在同一表面活性劑分子內具有聚氧化烯官能基及磺酸根或硫酸根官能基二者之表面活性劑(即,單表面活性劑系統)的移除速率抑制劑。實例性「單表面活性劑」 (例如包含同一表面活性劑分子內之聚氧化烯官能基及硫酸根官能基之表面活性劑)係月桂醇聚醚硫酸銨及其鹽(例如月桂基聚氧乙烯硫酸鈉或月桂基聚氧乙烯硫酸銨),其特徵在於具有聚氧化烯官能基及硫酸根官能基二者,且其可以商標名SINONATE™ 1105SF自例如Sino-Japan Chemical Co.購得且亦可以商標名EMAL™ 20A自Kao GlobalChemical購得。
在較佳實施例中,移除速率抑制劑選自月桂基聚氧乙烯醚硫酸鹽、烷氧基化烷醇及烷基芳基磺酸鹽、磺酸化烷基芳醚及烷氧基化聚去水山梨醇、α-烯烴磺酸鹽及烷氧基化聚去水山梨醇及其組合。較佳地,移除速率抑制劑係烷氧基化烷醇,且烷氧基化烷醇包含乙氧基化C6 -C12 醇。在另一較佳實施例中,移除速率抑制劑係烷氧基化聚去水山梨醇,且烷氧基化聚去水山梨醇包含聚氧乙烯(20)去水山梨醇單月桂酸酯。
在另一較佳實施例中,移除速率抑制劑選自包含月桂基聚氧乙烯醚硫酸鹽之表面活性劑、包含乙氧基化C6 -C12 醇之第一表面活性劑及包含C10 -C14 烷基芳基磺酸鹽之第二表面活性劑、包含磺酸化烷基二苯基氧化物之第一表面活性劑及包含聚氧乙烯去水山梨醇單月桂酸酯之第二表面活性劑、包含α-烯烴磺酸鹽之第一表面活性劑及包含聚氧乙烯去水山梨醇單月桂酸酯之第二表面活性劑及其組合。
在另一較佳實施例中,移除速率抑制劑包含包含乙氧基化C6 -C12 醇之第一表面活性劑及包含C10 -C14 烷基芳基磺酸鹽之第二表面活性劑。
在另一較佳實施例中,移除速率抑制劑包含包括磺酸化烷基二苯基氧化物之第一表面活性劑及包括聚氧乙烯去水山梨醇單月桂酸酯之第二表面活性劑。
如熟習此項技術者將理解,硫酸根及磺酸根官能基係可離子化的,且因此可以任一適宜鹽形式存在於本發明拋光組合物中。
化學機械拋光組合物可包含任何適宜量之移除速率抑制劑。若組合物包含過少之移除速率抑制劑,則組合物可能不會展現足夠選擇性。相反,若拋光組合物包含過多之移除速率抑制劑,則拋光組合物可能展現不合意之拋光性能,可能不會成本有效及/或可能缺乏穩定性或選擇性。因此,移除速率抑制劑可以下列濃度存在於拋光組合物中:約5 wt.%或更小,例如約4.5 wt.%或更小、約4 wt.%或更小、約3.5 wt.%或更小、約3 wt.%或更小、約2.5 wt.%或更小、約2 wt.%或更小、約1.5 wt.%或更小或約1 wt.%或更小。或者或另外,移除速率抑制劑可以下列濃度存在於拋光組合物中:約0.001 wt.%或更大,例如約0.005 wt.%或更大、約0.01 wt.%或更大、約0.05 wt.%或更大、約0.1 wt.%或更大或約0.5 wt.%或更大。因此,移除速率抑制劑可以由任兩個上文所提及之終點界定之濃度存在於拋光組合物中。舉例而言,移除速率抑制劑可以下列濃度存在於拋光組合物中:約0.001 wt.%至約5 wt.%,例如約0.005 wt.%至約4.5 wt.%、約0.01 wt.%至約4 wt.%、約0.05 wt.%至約3.5 wt.%、約0.1 wt.%至約3 wt.%、約0.5 wt.%至約2.5 wt.%或約1 wt.%至約2 wt.%。
在實施例中,移除速率抑制劑係以約0.005 wt.%至約1 wt.%之濃度存在於拋光組合物中。在另一實施例中,移除速率抑制劑係以約0.1 wt.%之濃度存在於拋光組合物中。
拋光組合物包括水性載劑。水性載劑包含水(例如去離子水)、基本上由其組成或由其組成,且可含有一或多種水可混溶性有機溶劑。可使用之有機溶劑之實例包括醇,例如丙三醇、異丙醇、乙醇、1-丙醇、甲醇、1-己醇及諸如此類;醛,例如乙醛及諸如此類;酮,例如丙酮、二丙酮醇、甲基乙基酮及諸如此類;酯,例如甲酸乙酯、甲酸丙酯、乙酸乙酯、乙酸甲酯、乳酸甲酯、乳酸丁酯、乳酸乙酯及諸如此類;醚,包括亞碸(例如二甲基亞碸(DMSO))、四氫呋喃、二噁烷、二甘醇二甲醚及諸如此類;醯胺,例如N,N-二甲基甲醯胺、二甲基咪唑啶酮、N-甲基吡咯啶酮及諸如此類;多羥基醇及其衍生物,例如乙二醇、甘油、二乙二醇、二乙二醇單甲基醚及諸如此類;及含氮有機化合物,例如乙腈、戊胺、異丙胺、咪唑、二甲胺及諸如此類。較佳地,水性載劑僅為水,即不存在有機溶劑。
本發明拋光組合物具有酸性pH (即,小於7)。舉例而言,拋光組合物可具有約6.5或更小、例如約6或更小、約5.5或更小、約5或更小、約4.5或更小或約4或更小之pH。或者或另外,拋光組合物可具有約1.0或更高、例如約1.5或更高、約2.0或更高、約2.5或更高、約3.0或更高或約3.5或更高之pH。因此,拋光組合物可具有由任兩個上文所提及之終點界定之pH。舉例而言,拋光組合物可具有約1.0至約7、約1.5至約6.5、約2.0至約6.0、約2.5至約5.5、約3.0至約5.0、約3.5至約4.5或約4之pH。通常,拋光組合物具有約1至約5之pH。
在較佳實施例中,拋光組合物具有約2至約4之pH。
拋光組合物視情況進一步包含一或多種能夠將pH維持在特定範圍內之化合物(例如pH調節劑或緩衝劑)。緩衝劑能夠維持拋光組合物之酸性pH。拋光組合物可包含任何適宜之緩衝劑,條件係緩衝劑係水溶性,且與組合物之其他組分相容。舉例而言,在拋光組合物中納入緩衝劑不應產生不穩定之拋光組合物或不適宜之拋光性能。適宜緩衝劑為業內已知。在一些實施例中,拋光組合物包含一種以上之緩衝劑。示例性緩衝劑包括乙酸鉀、碳酸鉀及其混合物。
拋光組合物可包含任何適量緩衝劑(若存在)。拋光組合物可含有一定量之緩衝劑以維持期望pH,同時維持期望拋光性能。若拋光組合物中緩衝劑之濃度過低,則pH無法維持在可接受之範圍(即,酸性)。或者,若緩衝劑之濃度過高,則拋光組合物可能展現不合意之拋光性能,可能沒有成本效益及/或可能缺乏穩定性。
本發明拋光組合物視情況進一步包含一或多種pH調節劑。如熟習此項技術者將理解,添加pH調節劑以調整(例如調節)拋光組合物之pH。拋光組合物可包含任何適宜之pH調節劑,條件係pH調節劑與拋光組合物之其他組分相容。在實施例中,pH調節劑係氫氧化鉀。在另一實施例中,pH調節劑係硝酸。
視需要,拋光組合物視情況進一步包含其他組分(即添加劑)。舉例而言,端視期望拋光應用而定,本發明拋光組合物可包含一或多種添加劑以改良或增強拋光性能。添加劑需與拋光組合物之其他組分相容。在實施例中,本發明拋光組合物進一步包含選自以下之添加劑:表面活性劑、觸媒、氧化劑、穩定劑、腐蝕抑制劑、殺生物劑及其組合。
在較佳實施例中,拋光組合物進一步包含觸媒或腐蝕抑制劑。與本發明之態樣一致,拋光組合物可視需要包含觸媒及腐蝕抑制劑二者,以獲得期望拋光性能。在另一較佳實施例中,拋光組合物進一步包含腐蝕抑制劑。
在實施例中,本發明之拋光組合物可包含一或多種氧化劑。氧化劑將金屬層氧化成其相應氧化物或氫氧化物,例如將鋁氧化成鋁氧、將鈦氧化成氧化鈦、將鎢氧化成氧化鎢及將銅氧化成氧化銅。拋光組合物可包含任何適宜之氧化劑,條件係氧化劑係水溶性的且與組合物之其他組分相容。舉例而言,在拋光組合物中納入氧化劑不應產生不穩定之拋光組合物或不適宜之拋光性能。此外,熟習此項技術者將理解,氧化劑之選擇應與特定拋光應用相容。舉例而言,在一些拋光應用中,可能不合意的是基板經鹼金屬、鹼土金屬、鹵化物污染。氧化劑可在漿體製造製程期間或即將進行CMP操作之前(例如於位於半導體製造設備之罐中)添加至拋光組合物。
適宜氧化劑為業內已知且包括例如過氧化物(例如過氧化氫及其加成物,例如尿素過氧化氫;過碳酸鹽;有機過氧化物,例如過氧化苯甲醯、過乙酸及二-第三丁基過氧化物;單過硫酸鹽(SO5 -2 )、二過硫酸鹽(SO2 O8 -2 )及過氧化鈉)、硝酸鉀及碘酸鉀。其他說明性氧化劑包括具有其最高氧化態元素之化合物(例如過碘酸、過碘酸鹽、過溴酸、過溴酸鹽、過氯酸、過氯酸鹽、過硼酸、過硼酸鹽及過錳酸鹽)。其他說明性氧化劑包括非過氧化物(例如溴酸鹽、氯酸鹽、碘酸鹽、碘酸及鈰(IV)化合物(例如硝酸銨鈰))。在一些實施例中,拋光組合物包含一種以上之氧化劑。
在較佳實施例中,氧化劑係過氧化氫。
本發明之拋光組合物可包含任何適宜量之氧化劑(若存在)。若拋光組合物中氧化劑之濃度過低,則金屬基板將不會以適宜速率氧化成金屬氧化物,由此阻礙拋光性能(低移除速率及/或較差缺陷性能)。相反,若拋光組合物中氧化劑之濃度過高,則拋光組合物可能展現不合意之拋光性能,可能不會成本有效及/或可能缺乏穩定性。因此,氧化劑可以下列濃度存在於拋光組合物中:約0.1 wt.%至約10 wt.%,例如約0.1 wt.%至約6 wt.%、約0.2 wt.%至約5 wt.%、約0.3 wt.%至約4 wt.%、約0.5 wt.%至約3 wt.%或約0.25 wt.%至約2 wt.%。舉例而言,氧化劑可以約1 wt.%之濃度存在於拋光組合物中。
在本發明之一些實施例中,拋光組合物進一步包含觸媒(例如加速劑)。舉例而言,對於鎢拋光應用,拋光組合物可包含含鐵加速劑作為適宜觸媒。含鐵加速劑係增加鎢CMP操作期間鎢之移除速率之含鐵化學化合物。舉例而言,含鐵加速劑可包括例如美國專利第5,958,288號及第5,980,775號中所揭示之含鐵觸媒。含鐵觸媒可溶於水性載劑中且可包括例如鐵(鐵III)或亞鐵(鐵II)化合物,例如硝酸鐵、硫酸鐵及鐵鹵化物(包括氟化物、氯化物、溴化物及碘化物)以及過氯酸鹽、過溴酸鹽及過碘酸鹽及有機鐵化合物,例如鐵乙酸鹽、乙醯丙酮酸鹽、檸檬酸鹽、葡糖酸鹽、丙二酸鹽、草酸鹽、鄰苯二甲酸鹽及琥珀酸鹽及其組合。在實施例中,觸媒係硝酸鐵。
拋光組合物可包含任何適宜量之觸媒。若組合物包含過少觸媒,則組合物可能不會展現足夠拋光性能。相反,若拋光組合物包含過多觸媒,則組合物可能不會成本有效及/或可能缺乏穩定性。因此,拋光組合物可包含約5 wt.%或更少之觸媒,例如約4 wt.%或更少、約3 wt.%或更少或約2 wt.%或更少。或者或另外,拋光組合物可包含約0.005 wt.%或更多之觸媒,例如約0.01 wt.%或更多、約0.05 wt.%或更多、約0.1 wt.%或更多、約0.5 wt.%或更多、約1 wt.%或更多或約1.5 wt.%或更多。因此,拋光組合物可包含由任兩個上文所提及之終點界定之量之觸媒。舉例而言,拋光組合物可包含約0.005 wt.%至約5 wt.%、例如約0.01 wt.%至約4 wt.%、約0.05 wt.%至約3 wt.%、約0.1 wt.%至約2 wt.%或約0.5 wt.%至約1 wt.%之量之觸媒。
在實施例中,拋光組合物包含約0.01 wt.%至約0.5 wt.% (例如約0.025 wt.%或約0.05 wt.%)之量之觸媒。在另一實施例中,拋光組合物包含約0.05 wt.%之量之觸媒。
在一些實施例中,包含含鐵加速劑之拋光組合物可進一步包括穩定劑。不希望受限於任何具體理論,人們認為穩定劑防止含鐵加速劑隨時間降解氧化劑。添加穩定劑降低含鐵加速劑之有效性,使得添加至拋光組合物之穩定劑之類型及量的選擇可對CMP性能具有顯著影響。不希望受限於任何具體理論,人們認為添加穩定劑可形成穩定劑/加速劑複合物,其抑制加速劑與氧化劑反應,同時容許加速劑保留足夠活性以促進快速鎢拋光速率。
有用穩定劑包括磷酸、有機酸、膦酸鹽化合物、腈及結合至金屬且降低其對過氧化氫分解之反應性之其他配體。較佳有機酸包括乙酸、苯二甲酸、檸檬酸、己二酸、草酸、丙二酸、天冬胺酸、琥珀酸、戊二酸、庚二酸、辛二酸、壬二酸、癸二酸、馬來酸、戊烯二酸、黏康酸、乙二胺四乙酸(EDTA)、丙二胺四乙酸(PDTA)及其混合物。在實施例中,有機酸係丙二酸。包含酸之穩定劑可以其共軛形式使用,例如,可使用羧酸鹽而非羧酸。術語「酸」在用於闡述適宜穩定劑時亦包括酸穩定劑之共軛鹼(或多種鹼)。舉例而言,術語「己二酸」包括己二酸及其共軛鹼。穩定劑可單獨或組合使用且顯著降低氧化劑(例如過氧化氫)分解時之速率。
拋光組合物可包含任何適宜量之穩定劑。若組合物包含過少之穩定劑,則組合物可能不會展現足夠拋光性能。相反,若拋光組合物包含過多之穩定劑,則組合物可能不會成本有效及/或可能缺乏穩定性。因此,拋光組合物可包含約10 wt.%或更少之穩定劑,例如約9 wt.%或更少、約8 wt.%或更少、約7 wt.%或更少、約6 wt.%或更少、約5 wt.%或更少、約4 wt.%或更少、約3 wt.%或更少或約2 wt.%或更少。或者或另外,拋光組合物可包含約0.01 wt.%或更多之穩定劑,例如約0.05 wt.%或更大、約0.1 wt.%或更大、約0.5 wt.%或更大、約1 wt.%或更大或約1.5 wt.%或更大。因此,拋光組合物可包含由任兩個上文所提及之終點界定之量之穩定劑。舉例而言,拋光組合物可包含約0.001 wt.%至約10 wt.%、例如約0.005 wt.%至約9 wt.%、約0.01 wt.%至約8 wt.%、約0.05 wt.%至約7 wt.%、約0.1 wt.%至約6 wt.%、約0.5 wt.%至約5 wt.%、約1 wt.%至約4 wt.%或約1.5 wt.%至約3 wt.%之量之穩定劑。
在實施例中,拋光組合物包含約0.01 wt.%至約1 wt.% (例如約0.05 wt.%)之量之穩定劑。在另一實施例中,拋光組合物包含約0.1 wt.%之量之穩定劑。
拋光組合物可視情況進一步包括抑制金屬(例如鎢)蝕刻之化合物,亦即腐蝕抑制劑。適宜腐蝕抑制劑化合物抑制固體金屬轉化成可溶性金屬化合物,同時容許經由CMP操作有效地移除固體金屬。舉例而言,為鎢蝕刻之有用腐蝕抑制劑之化合物之類別包括具有含氮官能基(例如含氮雜環、烷基銨離子、胺基烷基及胺基酸(例如合成及天然))之化合物,如本文所述。在較佳實施例中,拋光組合物包含選自以下之腐蝕抑制劑:己胺、四甲基-對苯二胺、辛胺、二伸乙基三胺、二丁基苄基胺、胺基丙基矽醇、胺基丙基矽氧烷、十二烷基胺、酪胺酸、精胺酸、麩醯胺酸、麩胺酸、胱胺酸、離胺酸、甘胺酸(胺基乙酸)及其組合。
拋光組合物可包含任何適宜量之腐蝕抑制劑。若組合物包含過少之腐蝕抑制劑,則組合物可能不展現足夠拋光性能。相反,若拋光組合物包含過多之腐蝕抑制劑,則組合物可能不會成本有效及/或可能缺乏穩定性。因此,拋光組合物可包含約10 wt.%或更少之腐蝕抑制劑,例如約9 wt.%或更少、約8 wt.%或更少、約7 wt.%或更少、約6 wt.%或更少、約5 wt.%或更少、約4 wt.%或更少、約3 wt.%或更少或約2 wt.%或更少。或者或另外,拋光組合物可包含約0.01 wt.%或更多之腐蝕抑制劑,例如約0.05 wt.%或更多、約0.1 wt.%或更多、約0.5 wt.%或更多、約1 wt.%或更多或約1.5 wt.%或更多。因此,拋光組合物可包含由任兩個上文所提及之終點界定之量之腐蝕抑制劑。舉例而言,拋光組合物可包含約0.01 wt.%至約10 wt.%、例如約0.05 wt.%至約9 wt.%、約0.1 wt.%至約8 wt.%或約0.5 wt.%至約7 wt.%、約1 wt.%至約6 wt.%、約1.5 wt.%至約5 wt.%或約2 wt.%至約4 wt.%之量之腐蝕抑制劑。
在實施例中,拋光組合物包含約0.01 wt.%至約0.2 wt.% (例如約0.05 wt.%)之量之腐蝕抑制劑。
拋光組合物可視情況進一步包括除生物劑(例如KATHON™ LX)。除生物劑(若存在)可為任一適宜除生物劑且可以任一適宜量存在於拋光組合物中。適宜除生物劑包括例如異噻唑啉酮除生物劑。存在於拋光組合物中之除生物劑之量通常為約1 ppm至約50 ppm、較佳約10 ppm至約20 ppm。
應理解,拋光組合物中為酸、鹼或鹽(例如陰離子聚合物、移除速率抑制劑、緩衝劑等)之任一組分在溶解於拋光組合物之水性載劑中時可以解離形式(如陽離子及陰離子)存在。應理解,如本文所列舉之該等化合物存在於拋光組合物中之量係指用於製備拋光組合物之未解離化合物之重量。
拋光組合物可以任一適宜技術來產生,其中許多技術為熟習此項技術者已知。拋光組合物可以批式或連續製程來製備。通常,拋光組合物係藉由合併拋光組合物之組分來製備。如本文所用術語「組分」包括個別成分(例如具有包含陰離子聚合物或移除速率抑制劑等之表面之鋁氧顆粒)以及成分之任一組合(例如具有包含陰離子聚合物、移除速率抑制劑或一或多種添加劑等之表面之鋁氧顆粒)。
舉例而言,磨料顆粒可以期望濃度添加至水性載劑(例如水)。然後可調整pH (視需要)且移除速率抑制劑可以期望濃度添加至混合物以形成拋光組合物。拋光組合物可在使用前製備,其中一或多種組分係在即將使用前(例如,使用前約1分鐘內、或使用前約1小時內、或使用前約7天內)添加至拋光組合物。拋光組合物亦可藉由在拋光操作期間在基板表面混合該等組分來製備。
拋光組合物亦可以濃縮物形式提供,該濃縮物意欲在使用之前用適當量之水性載劑、尤其水稀釋。在該實施例中,拋光組合物濃縮物可包含鋁氧顆粒、移除速率抑制劑、添加劑(若存在)及水性載劑,其量使得在用適當量之水稀釋濃縮物時,拋光組合物之每一組分將以在上文針對每一組分所列舉之適當範圍內之量存在於拋光組合物中。另外,如由熟習此項技術者將理解,濃縮物可含有存在於最終拋光組合物中之適當分數之水以確保其他組分至少部分或完全溶解於濃縮物中。
儘管拋光組合物可在即將使用之前或甚至在使用前不久製備,但拋光組合物亦可藉由在使用地點處或附近混合拋光組合物之組分來產生。如本文所用術語「使用點」係指將拋光組合物施加至基板表面(例如拋光墊或基板表面本身)之點。當拋光組合物欲使用使用點混合來產生時,將拋光組合物之組分單獨儲存在兩個或更多個儲存器件中。
為混合儲存器件中所含之組分以在使用點處或附近產生拋光組合物,該等儲存器件通常提供有一或多個自每一儲存器件通向拋光組合物之使用點(例如平臺、拋光墊或基板表面)之流動管線。術語「流動管線」意指自個別儲存容器流動至儲存於其中之組分之使用點之路徑。一或多個流動管線可各自直接通向使用點,或在使用一個以上之流動管線之情況下,可在任一點將兩個或更多個流動管線組合成通向使用點之單一流動管線。此外,一或多個流動管線(例如個別流動管線或組合流動管線)中之任一者可首先通向一或多個其他器件(例如泵送器件、量測器件、混合器件等),然後到達組分之使用點。
拋光組合物之組分可獨立地遞送至使用點(例如將組分遞送至基板表面,在拋光過程期間在該基板表面上混合組分),或組分可在即將遞送至使用點之前合併。若在到達使用點之前小於10秒、較佳在到達使用點之前小於5秒、更佳在到達使用點之前小於1秒或甚至與使用點之組分遞送同時(例如將組分合併於分配器)合併組分,則在「即將遞送至使用點之前」合併該等組分。若在使用點之5 m內、例如在使用點之1 m內或甚至在使用點之10 cm內(例如在使用點之1 cm內)合併組分,則亦「在即將遞送至使用點之前」合併該等組分。
當在到達使用點之前合併拋光組合物之兩種或更多種組分時,可在不使用混合器件之情況下在流動管線中合併該等組分並將其遞送至使用點。或者,一或多個流動管線可通向混合器件中以促進兩種或更多種組分之組合。可使用任一適宜器件。舉例而言,混合器件可為兩種或更多種組分流經之噴嘴或噴射器(例如高壓噴嘴或噴射器)。或者,混合器件可為包含以下之容器型混合器件:一或多個入口,藉由其將拋光組合物之兩種或更多種組分引入混合器;及至少一個出口,混合組分經由其離開混合器以直接或經由裝置中之其他元件(例如經由一或多個流動管線)遞送至使用點。此外,混合器件可包含一個以上之室,每一室具有至少一個入口及至少一個出口,其中在每一室中合併兩種或更多種組分。若使用容器型混合器件,則混合器件較佳包含進一步促進組分之組合之混合機構。混合機構通常為業內已知,且包括攪拌器、摻和器、攪動器、葉片式折流板、氣體噴氣器系統、振動器等。
本發明亦提供化學機械拋光包含TiN層及SiN層之基板之方法,其中TiN層之選擇性移除快於SiN層。
因此,本發明提供化學機械拋光基板之方法,其包含(i)提供包含基板表面上之TiN層及基板表面上之SiN層的基板,(ii)提供拋光墊,(iii)提供化學機械拋光組合物,其包含(a)磨料顆粒,(b)移除速率抑制劑,其選自(I)包含聚氧化烯官能基及磺酸根官能基之表面活性劑;(II)包含聚氧化烯官能基及硫酸根官能基之表面活性劑;(III)包含聚氧化烯官能基之第一表面活性劑及包含磺酸根官能基之第二表面活性劑;及(IV)包含聚氧化烯官能基之第一表面活性劑及包含硫酸根官能基之第二表面活性劑;及(c)水性載劑,(iv)使基板與拋光墊及化學機械拋光組合物接觸;及(v)相對於基板移動拋光墊及化學機械拋光組合物以磨蝕基板表面上之TiN層之至少一部分及基板表面上之SiN層之至少一部分以拋光基板,其中TiN層之選擇性移除快於SiN層。
本發明之拋光組合物及方法可用於拋光包含基板表面上之TiN及基板表面上之SiN的任一基板(例如平板顯示器、積體電路、金屬、內層介電(ILD)器件、微機電系統(MEMS)、鐵電體、磁頭、半導體、記憶體或硬碟及薄膜) (例如包含至少一個包含鎢之金屬層、至少一個停止/障壁層及至少一個介電層之基板)。適宜基板亦包括用於半導體工業中之晶圓,其通常包含例如金屬、金屬氧化物、金屬氮化物、金屬複合物、金屬合金、低介電材料或其組合或由其組成。介電層可為金屬氧化物、多孔金屬氧化物、玻璃、有機聚合物、氟化有機聚合物或任何其他適宜高或低-k絕緣層。絕緣層可包含氧化矽、氮化矽或其組合、基本上由其組成或由其組成。氧化矽層可包含任何適宜氧化矽、基本上由其組成或由其組成,氧化矽中之許多為業內已知。舉例而言,氧化矽層可包含四乙氧基矽烷(TEOS)、高密度電漿(HDP)氧化物、硼磷矽酸鹽玻璃(BPSG)、高縱橫比製程(HARP)氧化物、旋塗式介電(SOD)氧化物、化學氣相沈積(CVD)氧化物、電漿增強之四乙基正矽酸鹽(PETEOS)、熱氧化物或未經摻雜之矽酸鹽玻璃。基板可進一步包含金屬層。金屬可包含任何適宜金屬、基本上由其組成或由其組成,金屬中之許多為業內已知,例如銅、鉭、鎢、鈦、鉑、釕、銥、鋁、鎳或其組合。術語「層」係指具有實質上均質之表面之材料之連續塊層及包含表面特徵(例如電路管線或通孔)內所含之材料之表面二者。有利地,本發明拋光組合物容許TiN相對於SiN之選擇性移除速率,同時提供金屬層之適宜移除速率。
本發明之化學機械拋光組合物及方法合意地展現一或多個金屬層之適宜移除速率且展現大於15:1之TiN:SiN移除速率選擇性,如藉由比較TiN移除速率與SiN移除速率所確定。金屬層、停止/障壁層及介電層之移除速率可端視拋光應用及期望形貌要求而變化。然而,無論所存在各個膜/層之移除速率如何,TiN:SiN移除速率選擇性大於15:1。不希望受限於任何具體理論,TiN:SiN移除速率選擇性可藉由改變本發明拋光組合物之各個組分(例如一或多種本文所述之移除速率抑制劑)之類型或濃度來調整。
本發明之化學機械拋光組合物及方法可經調整以在對具體薄層材料(例如W、TiN、SiN層/膜)有選擇性之期望拋光範圍處提供有效拋光,同時最小化表面瑕疵、缺陷、腐蝕、侵蝕、凹陷及停止層之移除。在一定程度上可藉由改變拋光組合物之組分之相對濃度來控制選擇性。視需要,本發明之化學機械拋光組合物及方法可用於拋光氮化鈦對氮化矽拋光移除速率選擇性為約15:1或更高(例如約20:1、約25:1、約30:1、約35:1、約40:1、約45:1、約50:1、約55:1、約60:1、約65:1、約70:1、約75:1、約80:1、約85:1、約90:1、約95:1、約100:1、約105:1、約110:1、約115:1、約120:1或約125:1)之基板。
本發明之拋光組合物及方法尤其適於拋光包含基板表面上之SiN層及基板表面上之TiN層的基板,且能夠提供金屬層之適宜移除速率,同時亦展現適宜TiN:SiN移除速率選擇性。本發明之拋光組合物及方法在相較於絕緣膜(例如氧化矽或氮化矽)下,對TiN具有所需之適宜選擇性,藉此使金屬層膜之凹陷或腐蝕最小化,其可能發生在金屬層膜比鄰近絕緣層膜更嚴重侵蝕時。
在實施例中,拋光組合物及方法適於拋光進一步包含鎢之基板,例如應用在鎢閘極擦光中。
本發明之化學機械拋光組合物及方法尤其適於與化學機械拋光裝置結合使用。通常,該裝置包含平臺,當使用時,該平臺會運動且具有隨軌道、直線或圓周運動產生之速度;拋光墊,其與平臺接觸且隨平臺運動而移動;及支座,其藉由接觸欲拋光之基板來固持該基板並相對於拋光墊表面移動該基板。基板之拋光係藉由以下方式來進行:將基板與拋光墊及本發明拋光組合物接觸放置,且然後相對於基板移動拋光墊,以磨蝕基板之至少一部分來拋光該基板。
可使用化學機械拋光組合物及任一適宜拋光墊(例如拋光表面)來拋光基板。適宜拋光墊包括(例如)織造及非織造拋光墊。此外,適宜拋光墊可包含具有不同密度、硬度、厚度、可壓縮性、壓縮後反彈能力及壓縮模數之任一適宜聚合物。適宜聚合物包括(例如)聚氯乙烯、聚氟乙烯、尼龍 (nylon)、氟碳化合物、聚碳酸酯、聚酯、聚丙烯酸酯、聚醚、聚乙烯、聚醯胺、聚胺基甲酸酯、聚苯乙烯、聚丙烯、其共同形成之產物及其混合物。軟聚胺基甲酸酯拋光墊特別適用於與本發明拋光方法結合。典型墊包括(但不限於)可自Cabot Microelectronics購得之SURFIN™ 000、SURFIN™ SSW1、SPM3100 Eminess Technologies)、POLITEX™ (Dow Chemical Company)及POLYPAS™ 27 (Fujibo)及EPIC™ D100墊。尤佳拋光墊係可自Dow Chemical購得之剛性微多孔聚胺基甲酸酯墊(IC1010 ™)。
合意地,化學機械拋光裝置進一步包含原位拋光終點檢測系統,其中之許多為業內已知。業內已知藉由分析自所拋光基板表面反射之光或其他輻射來檢查並監測拋光製程之技術。該等方法闡述於(例如)美國專利5,196,353、美國專利5,433,651、美國專利5,609,511、美國專利5,643,046、美國專利5,658,183、美國專利5,730,642、美國專利5,838,447、美國專利5,872,633、美國專利5,893,796、美國專利5,949,927及美國專利5,964,643中。合意地,檢查或監測所拋光基板之拋光製程之進展使得能夠確定拋光終點,即確定何時結束特定基板之拋光製程。 實施例
(1)在實施例(1)中呈現化學機械拋光組合物,其包含(a)鋁氧顆粒,其中鋁氧顆粒具有包含陰離子聚合物之表面,(b)移除速率抑制劑,其選自(I)包含聚氧化烯官能基及磺酸根官能基之表面活性劑、(II)包含聚氧化烯官能基及硫酸根官能基之表面活性劑、(III)包含聚氧化烯官能基之第一表面活性劑及包含磺酸根官能基之第二表面活性劑及(IV)包含聚氧化烯官能基之第一表面活性劑及包含硫酸根官能基之第二表面活性劑,及(c)水性載劑。
(2)在實施例(2)中呈現實施例(1)之化學機械拋光組合物,其中鋁氧顆粒係以約0.001 wt.%至約10 wt.%之濃度存在於拋光組合物中。
(3)在實施例(3)中呈現實施例(1)或(2)之化學機械拋光組合物,其中陰離子聚合物包含選自以下之重複單元:羧酸官能基、磺酸官能基、膦酸官能基及其組合。
(4)在實施例(4)中呈現實施例(1)-(3)中任一者之化學機械拋光組合物,其中陰離子聚合物包含選自以下之重複單元:丙烯酸、甲基丙烯酸、伊康酸、馬來酸、馬來酸酐、乙烯基磺酸、2-(甲基丙烯醯基氧基)乙磺酸、苯乙烯磺酸、2-丙烯醯胺基-2-甲基丙烷磺酸、乙烯基膦酸、磷酸2-(甲基丙烯醯基氧基)乙基酯及其組合。
(5)在實施例(5)中呈現實施例(1)-(4)中任一者之化學機械拋光組合物,其中移除速率抑制劑係以約0.001 wt.%至約5 wt.%之濃度存在於拋光組合物中。
(6)在實施例(6)中呈現實施例(1)-(5)中任一者之化學機械拋光組合物,其中移除速率抑制劑包含聚氧乙烯基團。
(7)在實施例(7)中呈現實施例(1)-(6)中任一者之化學機械拋光組合物,其中移除速率抑制劑選自包含月桂基聚氧乙烯醚硫酸鹽之表面活性劑、包含乙氧基化C6 -C12 醇之第一表面活性劑及包含C10 -C14 烷基芳基磺酸鹽之第二表面活性劑、包含磺酸化烷基二苯基氧化物之第一表面活性劑及包含聚氧乙烯去水山梨醇單月桂酸酯之第二表面活性劑、包含α-烯烴磺酸鹽之第一表面活性劑及包含聚氧乙烯去水山梨醇單月桂酸酯之第二表面活性劑及其組合。
(8)在實施例(8)中呈現實施例(7)之化學機械拋光組合物,其中移除速率抑制劑包含包括乙氧基化C6 -C12 醇之第一表面活性劑及包括C10 -C14 烷基芳基磺酸鹽之第二表面活性劑。
(9)在實施例(9)中呈現實施例(7)之化學機械拋光組合物,其中移除速率抑制劑包含包括磺酸化烷基二苯基氧化物之第一表面活性劑及包括聚氧乙烯去水山梨醇單月桂酸酯之第二表面活性劑。
(10)在實施例(10)中呈現實施例(1)-(9)中任一者之化學機械拋光組合物,其中拋光組合物具有約1至約5之pH。
(11)在實施例(11)中呈現實施例(1)-(10)中任一者之化學機械拋光組合物,其進一步包含觸媒或腐蝕抑制劑。
(12)在實施例(12)中呈現實施例(1)-(11)中任一者之化學機械拋光組合物,其中拋光組合物包含腐蝕抑制劑,且其中腐蝕抑制劑選自己胺、四甲基-對苯二胺、辛胺、二伸乙基三胺、二丁基苄基胺、胺基丙基矽醇、胺基丙基矽氧烷、十二烷基胺、酪胺酸、精胺酸、麩醯胺酸、麩胺酸、胱胺酸、離胺酸、甘胺酸(胺基乙酸)及其組合。
(13)在實施例(13)中呈現化學機械拋光基板之方法,其包含(i)提供包含基板表面上之氮化鈦(TiN)層及基板表面上之氮化矽(SiN)層的基板;(ii)提供拋光墊;(iii)提供化學機械拋光組合物,其包含(a)磨料顆粒,(b)移除速率抑制劑,其選自(I)包含聚氧化烯官能基及磺酸根官能基之表面活性劑、(II)包含聚氧化烯官能基及硫酸根官能基之表面活性劑、(III)包含聚氧化烯官能基之第一表面活性劑及包含磺酸根官能基之第二表面活性劑及(IV)包含聚氧化烯官能基之第一表面活性劑及包含硫酸根官能基之第二表面活性劑,及(c)水性載劑;(iv)使基板與拋光墊及化學機械拋光組合物接觸;及(v)相對於基板移動拋光墊及化學機械拋光組合物以磨蝕基板表面上之TiN層之至少一部分及基板表面上之SiN層之至少一部分以拋光基板,其中TiN層之選擇性移除快於SiN層,且其中TiN:SiN移除速率選擇性大於15:1。
(14)在實施例(14)中呈現實施例(13)之化學機械拋光基板之方法,其中TiN:SiN移除速率選擇性大於20:1。
(15)在實施例(15)中呈現實施例(13)或(14)之化學機械拋光基板之方法,其中磨料顆粒選自膠體矽氧顆粒及鋁氧顆粒,其中鋁氧顆粒具有包含陰離子聚合物之表面。
(16)在實施例(16)中呈現實施例(13)-(15)中任一者之化學機械拋光基板之方法,其中磨料顆粒係鋁氧顆粒,其中鋁氧顆粒具有包含陰離子聚合物之表面。
(17)在實施例(17)中呈現實施例(15)或(16)之化學機械拋光基板之方法,其中陰離子聚合物包含選自以下之重複單元:丙烯酸、甲基丙烯酸、伊康酸、馬來酸、馬來酸酐、乙烯基磺酸、2-(甲基丙烯醯基氧基)乙磺酸、苯乙烯磺酸、2-丙烯醯胺基-2-甲基丙烷磺酸、乙烯基膦酸、磷酸2-(甲基丙烯醯基氧基)乙基酯及其組合。
(18)在實施例(18)中呈現實施例(13)-(17)中任一者之化學機械拋光基板之方法,其中磨料顆粒係以約0.001 wt.%至約10 wt.%之濃度存在於拋光組合物中。
(19)在實施例(19)中呈現實施例(13)-(18)中任一者之化學機械拋光基板之方法,其中移除速率抑制劑包含聚氧乙烯基團。
(20)在實施例(20)中呈現實施例(13)-(19)中任一者之化學機械拋光基板之方法,其中移除速率抑制劑選自包含月桂基聚氧乙烯醚硫酸鹽之表面活性劑、包含乙氧基化C6 -C12 醇之第一表面活性劑及包含C10 -C14 烷基芳基磺酸鹽之第二表面活性劑、包含磺酸化烷基二苯基氧化物之第一表面活性劑及包含聚氧乙烯去水山梨醇單月桂酸酯之第二表面活性劑、包含α-烯烴磺酸鹽之第一表面活性劑及包含聚氧乙烯去水山梨醇單月桂酸酯之第二表面活性劑及其組合。
(21)在實施例(21)中呈現實施例(20)之化學機械拋光基板之方法,其中移除速率抑制劑包含包括乙氧基化C6 -C12 醇之第一表面活性劑及包括C10 -C14 烷基芳基磺酸鹽之第二表面活性劑。
(22)在實施例(22)中呈現實施例(20)之化學機械拋光基板之方法,其中移除速率抑制劑包含包括磺酸化烷基二苯基氧化物之第一表面活性劑及包括聚氧乙烯去水山梨醇單月桂酸酯之第二表面活性劑。
(23)在實施例(23)中呈現實施例(13)-(22)中任一者之化學機械拋光基板之方法,其中移除速率抑制劑係以約0.001 wt.%至約5 wt.%之濃度存在於拋光組合物中。
(24)在實施例(24)中呈現實施例(13)-(23)中任一者之化學機械拋光基板之方法,其中拋光組合物具有約1至約5之pH。
(25)在實施例(25)中呈現實施例(13)-(24)中任一者之化學機械拋光基板之方法,其中拋光組合物進一步包含觸媒或腐蝕抑制劑。
(26)在實施例(26)中呈現實施例(25)之化學機械拋光基板之方法,其中拋光組合物包含腐蝕抑制劑,且其中腐蝕抑制劑選自己胺、四甲基-對苯二胺、辛胺、二伸乙基三胺、二丁基苄基胺、胺基丙基矽醇、胺基丙基矽氧烷、十二烷基胺、酪胺酸、精胺酸、麩醯胺酸、麩胺酸、胱胺酸、離胺酸、甘胺酸(胺基乙酸)及其組合。 實例
以下實例進一步說明本發明,但當然不應解釋為以任何方式限制本發明之範圍。
除非另外闡述,否則TiN晶圓及SiN晶圓係在配備有EPIC D100拋光墊(Cabot Microelectronics Corporation, Aurora, IL)之GnP POLI-500拋光器件(G&P Technology, Inc. Busan, South Korea)上在以下條件下單獨拋光:2.5 psi之下壓力;93 rpm之工作臺速度;87 rpm之頭速度;100 mL/min之流速;及20 s (TiN)或3 min (SiN)之拋光時間。
在實例中使用以下縮寫:RR係指移除速率;RRI係指移除速率抑制劑;PSS係指聚苯乙烯磺酸;且AOS係指α-烯烴磺酸鹽。 實例1
此實例展示移除速率抑制劑對本發明拋光方法及組合物之TiN:SiN選擇性之效應。
用9種拋光組合物(即,拋光組合物1A-1I)拋光包含TiN或SiN之基板。拋光組合物1A-1I中之每一者含有以下物質:使用聚磺酸聚合物作為磨料及0.5 wt.%過氧化氫作為氧化劑處理之0.03 wt.%鋁氧顆粒。另外,每一拋光組合物之pH為3。
拋光組合物1A係對照且不含移除速率抑制劑。
拋光組合物1B-1I為發明性且含有以下移除速率抑制劑中之一或多者,如表1中所指示:α-烯烴磺酸鹽(AOS);TWEEN™20;ΖETASPERSE™ 2300 (Z2300);DOWFAX™ C10L;SINONATE™ 1150SF;及/或聚氧乙烯月桂基醚硫酸鈉(EMAL™ 20C)。
拋光組合物1B-1E為單組分組合物,其中移除速率抑制劑包含包括聚氧乙烯官能基及硫酸根官能基之表面活性劑。拋光組合物1F-1I為多組分(例如兩組分系統)組合物,其中移除速率抑制劑包含包括聚氧乙烯官能基之第一表面活性劑及包括磺酸根官能基之第二表面活性劑。
移除速率及拋光選擇性數據闡釋於表1中。 表1:移除速率抑制劑對拋光性能之效應
Figure 107128159-A0304-0001
如自表1中所闡釋之結果顯而易見,包含單組分移除速率抑制劑(拋光組合物1B-1E)或兩組分移除速率抑制劑(拋光組合物1F-1I)之發明性拋光組合物合意地展現大於15:1之TiN:SiN移除速率選擇性。
含有包含包括聚氧乙烯官能基及硫酸根官能基之表面活性劑之移除速率抑制劑的拋光組合物1B展現101:1之TiN:SiN移除速率選擇性。亦含有包含包括聚氧乙烯官能基及硫酸根官能基之表面活性劑之移除速率抑制劑的拋光組合物1C-1E分別展現110:1、99:1及121:1之TiN:SiN移除速率選擇性。
含有包含包括聚氧乙烯官能基之第一表面活性劑及包括芳基磺酸根官能基之第二表面活性劑之移除速率抑制劑的拋光組合物1F展現47:1之TiN:SiN移除速率選擇性。含有包含包括聚氧乙烯官能基之第一表面活性劑及包括磺酸根官能基之第二表面活性劑之移除速率抑制劑的拋光組合物1G展現74:1之TiN:SiN移除速率選擇性。含有包含包括聚氧乙烯官能基之第一表面活性劑及包括芳基磺酸根官能基之第二表面活性劑的移除速率抑制劑之拋光組合物1H及1I分別展現41:1及45:1之TiN:SiN移除速率選擇性。
此外,每一本發明拋光組合物展現大於對照拋光組合物1A之TiN:SiN選擇性。舉例而言,拋光組合物1E展現為拋光組合物1A 13倍以上之TiN:SiN選擇性,且拋光組合物1B-1D中之每一者展現為拋光組合物1A 11倍以上之TiN:SiN選擇性。
此數據表明,含有「單組分系統」移除速率抑制劑之發明性拋光組合物(拋光組合物1B-1E,其含有包含聚氧乙烯官能基及硫酸根官能基之表面活性劑)及含有「兩組分系統」移除速率抑制劑之發明性拋光組合物(拋光組合物1F-1I,其含有包含聚氧乙烯官能基之第一表面活性劑及包含磺酸根官能基(例如芳基磺酸根官能基)之第二表面活性劑)合意地展現大於15:1之TiN:SiN移除速率選擇性。實際上,含有「單組分系統」移除速率抑制劑之拋光組合物1B-1E展現大於95:1 (拋光組合物1D);大於100:1 (拋光組合物1B);大於105 (拋光組合物1C);及大於120:1 (拋光組合物1E)之TiN:SiN移除速率選擇性。另外,含有「兩組分系統」移除速率抑制劑之拋光組合物1F-1I展現大於40:1 (拋光組合物1H及1I);大於45:1 (拋光組合物1F)及大於70:1 (拋光組合物1G)之TiN:SiN移除速率選擇性。 實例2
此實例展示移除速率抑制劑及磨料對本發明拋光方法及組合物之TiN:SiN選擇性之效應。
用11種拋光組合物(即,拋光組合物2A-2K)拋光包含TiN或SiN之基板。拋光組合物2A-2K中之每一者含有使用聚磺酸聚合物或膠體矽氧顆粒(PL-3D或PL-2, FUSO)作為磨料及0.5 wt.%過氧化氫作為氧化劑處理之鋁氧顆粒。另外,每一拋光組合物之pH為3。
拋光組合物2A、2D及2F為對照且不含移除速率抑制劑。拋光組合物2B、2C、2E及2G-2K為發明性且含有以下移除速率抑制劑中之一或多者,如表2中所指示:α-烯烴磺酸鹽(AOS);TWEEN™20;ΖETASPERSE™ 2300 (Z2300);DOWFAX™ C10L;SINONATE™ 1150SF;及/或聚氧乙烯月桂基醚硫酸鈉(EMAL™ 20C)。
發明性拋光組合物2B、2C、2H及2I為單組分組合物,其中移除速率抑制劑包含包括聚氧乙烯官能基及硫酸根官能基之表面活性劑。拋光組合物2E、2G、2J及2K為多組分(例如兩組分系統)組合物,其中移除速率抑制劑包含包括聚氧乙烯官能基之第一表面活性劑及包括磺酸根官能基之第二表面活性劑。
移除速率及拋光選擇性數據闡釋於表2中。 表2:磨料及移除速率抑制劑對拋光性能之效應
Figure 107128159-A0304-0002
如自表2中所闡釋之結果顯而易見,包含單組分移除速率抑制劑(拋光組合物2B、2C、2H及2I)或兩組分移除速率抑制劑(拋光組合物2E、2G、2J及2K)之本發明拋光方法及組合物合意地展現大於15:1之TiN:SiN移除速率選擇性。
拋光組合物2B及2C (其各自包含使用聚磺酸聚合物作為磨料處理之鋁氧顆粒及包含包括聚氧乙烯官能基及硫酸根官能基之表面活性劑之移除速率抑制劑)展現約100:1之TiN:SiN移除速率選擇性。拋光組合物2H及2I (其各自包含膠體矽氧顆粒作為磨料及包含包括聚氧乙烯官能基及硫酸根官能基之表面活性劑之移除速率抑制劑)展現25.6:1 (2H)及41:1 (2H)之TiN:SiN移除速率選擇性。拋光組合物2E、2G、2J及2K (其各自包含膠體矽氧顆粒作為磨料及包含包括聚氧乙烯官能基之第一表面活性劑及包括磺酸根官能基之第二表面活性劑的移除速率抑制劑)展現26:1 (2E)、23:1 (2G)、19:1 (2J)及75.6:1 (2K)之TiN:SiN移除速率選擇性。
此外,每一本發明拋光組合物展現大於對照拋光組合物之TiN:SiN選擇性。舉例而言,發明性拋光組合物2B及2C中之每一者展現為對照拋光組合物1A至少11倍之TiN:SiN選擇性,且發明性拋光組合物2E展現為對照拋光組合物2D 5倍以上之TiN:SiN選擇性。另外,發明性拋光組合物2G展現為對照拋光組合物2F 9倍以上之TiN:SiN選擇性。此外,發明性拋光組合物2H-2K中之每一者展現大於每一對照組合物之TiN:SiN選擇性。
此數據表明,含有「單組分系統」 除率抑制劑之發明性拋光組合物(即拋光組合物2B、2C、2H及2I,且其含有選自膠體矽氧顆粒及鋁氧顆粒(其中鋁氧顆粒具有包含陰離子聚合物之表面)之磨料顆粒及包含聚氧化烯官能基及硫酸根官能基之表面活性劑)及含有「兩組分系統」移除速率抑制劑之發明性拋光組合物(即拋光組合物2E、2G、2J及2K,其含有膠體矽氧顆粒作為磨料及包含聚氧化烯官能基之第一表面活性劑及包括磺酸根官能基之第二表面活性劑)合意地展現大於15:1之TiN:SiN移除速率選擇性。實際上,含有「單組分系統」移除速率抑制劑之拋光組合物2C在本發明方法中展現大於95:1 (即,100:1)之TiN:SiN移除速率選擇性,且含有「兩組分系統」移除速率抑制劑之拋光組合物2K在本發明方法中展現大於75:1之TiN:SiN移除速率選擇性。 實例3
此實例展示在拋光包含鎢之基板時移除速率抑制劑對本發明拋光方法及組合物之TiN:SiN選擇性之效應。
用7種拋光組合物(即,拋光組合物3A-3G)拋光包含W、TiN及SiN之基板。拋光組合物3A-3G中之每一者含有使用聚磺酸聚合物作為磨料及0.5 wt.%過氧化氫作為氧化劑處理之0.03 wt.%鋁氧顆粒。另外,每一拋光組合物之pH為3。
拋光組合物3A係對照且不含移除速率抑制劑或腐蝕抑制劑。
拋光組合物3B-3G為發明性且含有以下移除速率抑制劑中之一或多者,如表3中所指示:α-烯烴磺酸鹽(AOS);TWEEN™20;ΖETASPERSE™ 2300 (Z2300);及/或聚氧乙烯月桂基醚硫酸鈉(EMAL™ 20C)。
拋光組合物3B及3C為單組分組合物,其中移除速率抑制劑包含包括聚氧乙烯官能基及硫酸根官能基之表面活性劑。拋光組合物3D-3G為多組分(例如兩組分系統)組合物,其中移除速率抑制劑包含包括聚氧乙烯官能基之第一表面活性劑及包括磺酸根官能基之第二表面活性劑。
拋光組合物3C、3E及3G進一步包含0.05 wt.%甘胺酸、0.025 wt.%硝酸鐵及0.054 wt.%丙二酸作為腐蝕抑制劑。
移除速率及拋光選擇性闡釋於表3中。 表3:移除速率抑制劑對鎢拋光性能之效應
Figure 107128159-A0304-0003
*含有0.05 wt.%甘胺酸、0.025 wt.%硝酸鐵及0.054 wt.%丙二酸作為腐蝕抑制劑
如自表3中所闡釋之結果顯而易見,使用拋光組合物3B-3G (其包含使用聚磺酸聚合物作為磨料處理之鋁氧顆粒,及包含包括聚氧乙烯官能基及硫酸根官能基之表面活性劑之移除速率抑制劑(例如單組分系統)或包含包括聚氧乙烯官能基之第一表面活性劑及包括芳基磺酸根官能基/磺酸根官能基之第二表面活性劑的移除速率抑制劑(例如多組分系統))之本發明拋光方法合意地展現大於15:1之TiN:SiN移除速率選擇性。
實際上,拋光組合物3B及3C分別展現101:1及82:1之TiN:SiN移除速率選擇性。拋光組合物3D-3G分別展現47:1、42:1、74:1及68:1之TiN:SiN移除速率選擇性。
另外,進一步包含腐蝕抑制劑之拋光組合物3C、3E及3G展現與不含腐蝕抑制劑之相同拋光組合物相比更高之鎢移除速率。舉例而言,與拋光組合物3B (20埃/分鐘)相比,拋光組合物3C展現134埃/分鐘之鎢移除速率,其係拋光組合物3B之鎢移除速率的6倍以上(即,134埃/分鐘相比於20埃/分鐘)。類似地,拋光組合物3E展現73埃/分鐘之鎢移除速率,其係拋光組合物3D之鎢移除速率的3倍以上(即,73埃/分鐘相比於21埃/分鐘)。另外,拋光組合物3G展現72埃/分鐘之鎢移除速率,其係拋光組合物3H之鎢移除速率的2倍以上(即,72埃/分鐘相比於35埃/分鐘)。
此數據表明,含有「單組分系統」移除速率抑制劑之發明性拋光方法及組合物(即,拋光組合物3B及3C)及含有「兩組分系統」移除速率抑制劑之發明性拋光方法及組合物(即,拋光組合物3D-3G)在本發明方法中合意地展現大於40:1、大於45:1、大於65:1、大於70:1、大於80:1或甚至大於100:1之TiN:SiN移除速率選擇性。此外,進一步包含腐蝕抑制劑之拋光組合物(即,拋光組合物3C、3E及3G)在本發明方法中展現高於不含腐蝕抑制劑之彼等組合物之鎢移除速率。
本文所引用之所有參考文獻(包括出版物、專利申請案及專利)均以引用方式併入本文中,其併入程度如同將每一參考文獻個別且特定指示以引用方式併入本文中且其全文列示於本文中一般。
除非本文另有指示或上下文明顯矛盾,否則在闡述本發明之上下文中(尤其在下文申請專利範圍之上下文中),術語「一(a及an)」及「該」及「至少一者」及相似指示物之使用均應解釋為涵蓋單數及複數二者。除非本文另有指示或上下文明顯矛盾,否則在一或多個物項之列表之前緊接使用之術語「至少一者」(例如,「A及B中之至少一者」)應解釋為意指選自所列示物項中之一個物項(A或B)或所列示物項中之兩者或更多者之任一組合(A及B)。除非另外註明,否則術語「包含」、「具有」、「包括」及「含有」應解釋為開放式術語(即,意指「包括(但不限於)」)。除非本文另有指示,否則列舉本文中之數值範圍僅意欲作為個別提及落入此範圍內之每一單獨值之速記方法,並且每一單獨值係如同在本文個別列舉一般併入本說明書中。除非本文另有指示或上下文另外明顯矛盾,否則本文所述之所有方法皆可以任何適宜順序實施。除非另有主張,否則本文中所提供的任何及所有實例或示例性語言(例如「例如(such as)」)的使用僅意欲更好地說明本發明且並不對本發明之範圍強加限制。本說明書中之任何語言均不應解釋為指示任何未主張之要素對於本發明實踐係必需的。
本文中闡述本發明之較佳實施例,包括發明者已知用於實施本發明之最佳模式。熟習此項技術者在閱讀上述說明後可瞭解彼等較佳實施例之變化形式。本發明者期望熟習此項技術者適當採用該等變化形式,且本發明者期望本發明可以不同於本文特定闡述之方式實施。因此,本發明包括適用法律所允許的本文所附申請專利範圍中所列舉標的物之所有修改形式及等效形式。此外,除非本文另有指示或上下文另外明顯矛盾,否則在其所有可能之變化形式中,上述要素之任何組合皆涵蓋於本發明中。

Claims (21)

  1. 一種化學機械拋光組合物,其包含:(a)鋁氧顆粒,其中該等鋁氧顆粒具有包含陰離子聚合物之表面,其中該陰離子聚合物係選自聚(2-丙烯醯胺基-2-甲基丙烷磺酸)及聚苯乙烯磺酸,(b)移除速率抑制劑,其選自(I)包含聚氧化烯官能基及磺酸根官能基之表面活性劑、(II)包含聚氧化烯官能基及硫酸根官能基之表面活性劑、(III)包含聚氧化烯官能基之第一表面活性劑及包含磺酸根官能基之第二表面活性劑及(IV)包含聚氧化烯官能基之第一表面活性劑及包含硫酸根官能基之第二表面活性劑,及(c)水性載劑。
  2. 如請求項1之拋光組合物,其中該等鋁氧顆粒係以約0.001wt.%至約10wt.%之濃度存在於該拋光組合物中。
  3. 如請求項1之拋光組合物,其中該移除速率抑制劑係以約0.001wt.%至約5wt.%之濃度存在於該拋光組合物中。
  4. 如請求項1之拋光組合物,其中該移除速率抑制劑包含聚氧乙烯基團。
  5. 如請求項1之拋光組合物,其中該移除速率抑制劑係選自包含月桂基 聚氧乙烯醚硫酸鹽之表面活性劑、包含乙氧基化C6-C12醇之第一表面活性劑及包含C10-C14烷基芳基磺酸鹽之第二表面活性劑、包含磺酸化烷基二苯基氧化物之第一表面活性劑及包含聚氧乙烯去水山梨醇單月桂酸酯之第二表面活性劑、包含α-烯烴磺酸鹽之第一表面活性劑及包含聚氧乙烯去水山梨醇單月桂酸酯之第二表面活性劑及其組合。
  6. 如請求項5之拋光組合物,其中該移除速率抑制劑包含包括乙氧基化C6-C12醇之第一表面活性劑及包括C10-C14烷基芳基磺酸鹽之第二表面活性劑。
  7. 如請求項5之拋光組合物,其中該移除速率抑制劑包含包括磺酸化烷基二苯基氧化物之第一表面活性劑及包括聚氧乙烯去水山梨醇單月桂酸酯之第二表面活性劑。
  8. 如請求項1之拋光組合物,其中該拋光組合物具有約1至約5之pH。
  9. 如請求項1之拋光組合物,其進一步包含觸媒或腐蝕抑制劑。
  10. 如請求項9之拋光組合物,其中該拋光組合物包含腐蝕抑制劑,且其中該腐蝕抑制劑係選自己胺、四甲基-對苯二胺、辛胺、二伸乙基三胺、二丁基苄基胺、胺基丙基矽醇、胺基丙基矽氧烷、十二烷基胺、酪胺酸、精胺酸、麩醯胺酸、麩胺酸、胱胺酸、離胺酸、甘胺酸(胺基乙酸)及其組合。
  11. 一種化學機械拋光基板之方法,其包含:(i)提供基板,其包含在該基板之表面上之氮化鈦(TiN)層及該基板之表面上之氮化矽(SiN)層;(ii)提供拋光墊;(iii)提供化學機械拋光組合物,其包含:(a)磨料顆粒,其中該等磨料顆粒係鋁氧顆粒,其中該等鋁氧顆粒具有包含陰離子聚合物之表面,其中該陰離子聚合物係選自聚(2-丙烯醯胺基-2-甲基丙烷磺酸)及聚苯乙烯磺酸,(b)移除速率抑制劑,其選自(I)包含聚氧化烯官能基及磺酸根官能基之表面活性劑、(II)包含聚氧化烯官能基及硫酸根官能基之表面活性劑、(III)包含聚氧化烯官能基之第一表面活性劑及包含磺酸根官能基之第二表面活性劑及(IV)包含聚氧化烯官能基之第一表面活性劑及包含硫酸根官能基之第二表面活性劑,及(c)水性載劑;(iv)使該基板與該拋光墊及該化學機械拋光組合物接觸;及(v)相對於該基板移動該拋光墊及該化學機械拋光組合物,以磨蝕該基板之表面上之該TiN層的至少一部分及該基板之表面上之該SiN層的至少一部分以拋光該基板,其中該TiN層之選擇性移除快於該SiN層。
  12. 如請求項11之方法,其中TiN:SiN移除速率選擇性大於15:1。
  13. 如請求項11之方法,其中該等磨料顆粒係以約0.001wt.%至約10wt.%之濃度存在於該拋光組合物中。
  14. 如請求項11之方法,其中該移除速率抑制劑包含聚氧乙烯基團。
  15. 如請求項11之方法,其中該移除速率抑制劑選自包含月桂基聚氧乙烯醚硫酸鹽之表面活性劑、包含乙氧基化C6-C12醇之第一表面活性劑及包含C10-C14烷基芳基磺酸鹽之第二表面活性劑、包含磺酸化烷基二苯基氧化物之第一表面活性劑及包含聚氧乙烯去水山梨醇單月桂酸酯之第二表面活性劑、包含α-烯烴磺酸鹽之第一表面活性劑及包含聚氧乙烯去水山梨醇單月桂酸酯之第二表面活性劑及其組合。
  16. 如請求項15之方法,其中該移除速率抑制劑包含包括乙氧基化C6-C12醇之第一表面活性劑及包括C10-C14烷基芳基磺酸鹽之第二表面活性劑。
  17. 如請求項15之方法,其中該移除速率抑制劑包含包括磺酸化烷基二苯基氧化物之第一表面活性劑及包括聚氧乙烯去水山梨醇單月桂酸酯之第二表面活性劑。
  18. 如請求項11之方法,其中該移除速率抑制劑係以約0.001wt.%至約5wt.%之濃度存在於該拋光組合物中。
  19. 如請求項11之方法,其中該拋光組合物具有約1至約5之pH。
  20. 如請求項11之方法,其中該拋光組合物進一步包含觸媒或腐蝕抑制劑。
  21. 如請求項20之方法,其中該拋光組合物包含腐蝕抑制劑,且其中該腐蝕抑制劑選自己胺、四甲基-對苯二胺、辛胺、二伸乙基三胺、二丁基苄基胺、胺基丙基矽醇、胺基丙基矽氧烷、十二烷基胺、酪胺酸、精胺酸、麩醯胺酸、麩胺酸、胱胺酸、離胺酸、甘胺酸(胺基乙酸)及其組合。
TW107128159A 2017-09-15 2018-08-13 TiN-SiN CMP應用之高選擇性的氮化物抑制劑 TWI687496B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/706,192 2017-09-15
US15/706,192 US20190085205A1 (en) 2017-09-15 2017-09-15 NITRIDE INHIBITORS FOR HIGH SELECTIVITY OF TiN-SiN CMP APPLICATIONS

Publications (2)

Publication Number Publication Date
TW201920532A TW201920532A (zh) 2019-06-01
TWI687496B true TWI687496B (zh) 2020-03-11

Family

ID=65719975

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107128159A TWI687496B (zh) 2017-09-15 2018-08-13 TiN-SiN CMP應用之高選擇性的氮化物抑制劑

Country Status (7)

Country Link
US (2) US20190085205A1 (zh)
EP (2) EP3681963B1 (zh)
JP (1) JP7334148B2 (zh)
KR (1) KR20200043488A (zh)
CN (1) CN111108161B (zh)
TW (1) TWI687496B (zh)
WO (1) WO2019055160A2 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7120846B2 (ja) * 2018-08-10 2022-08-17 株式会社フジミインコーポレーテッド 研磨用組成物及びその製造方法並びに研磨方法並びに基板の製造方法
TW202043397A (zh) * 2019-04-17 2020-12-01 美商卡博特微電子公司 用於鎢擦光應用之表面塗覆研磨顆粒
KR102367056B1 (ko) * 2020-02-27 2022-02-25 주식회사 케이씨텍 화학적 기계적 연마용 슬러리 조성물
TW202214795A (zh) * 2020-07-28 2022-04-16 美商Cmc材料股份有限公司 包含陰離子性及陽離子性抑制劑之cmp組合物
US11680186B2 (en) * 2020-11-06 2023-06-20 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200946622A (en) * 2008-03-21 2009-11-16 Cabot Microelectronics Corp Compositions for polishing aluminum/copper and titanium in damascene structures
TW201422798A (zh) * 2012-11-02 2014-06-16 Fujimi Inc 研磨用組成物
CN106867411A (zh) * 2015-10-15 2017-06-20 三星电子株式会社 用于化学机械抛光的浆料组合物、其制法、抛光方法、制造半导体器件的方法和抛光设备

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5196353A (en) 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US6614529B1 (en) 1992-12-28 2003-09-02 Applied Materials, Inc. In-situ real-time monitoring technique and apparatus for endpoint detection of thin films during chemical/mechanical polishing planarization
US5658183A (en) 1993-08-25 1997-08-19 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical monitoring
US5433651A (en) 1993-12-22 1995-07-18 International Business Machines Corporation In-situ endpoint detection and process monitoring method and apparatus for chemical-mechanical polishing
JP3270282B2 (ja) 1994-02-21 2002-04-02 株式会社東芝 半導体製造装置及び半導体装置の製造方法
JP3313505B2 (ja) 1994-04-14 2002-08-12 株式会社日立製作所 研磨加工法
US5964643A (en) 1995-03-28 1999-10-12 Applied Materials, Inc. Apparatus and method for in-situ monitoring of chemical mechanical polishing operations
US5893796A (en) 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5838447A (en) 1995-07-20 1998-11-17 Ebara Corporation Polishing apparatus including thickness or flatness detector
US5872633A (en) 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US5958288A (en) * 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
US6001269A (en) * 1997-05-20 1999-12-14 Rodel, Inc. Method for polishing a composite comprising an insulator, a metal, and titanium
US6821897B2 (en) * 2001-12-05 2004-11-23 Cabot Microelectronics Corporation Method for copper CMP using polymeric complexing agents
DE102004016600A1 (de) * 2004-04-03 2005-10-27 Degussa Ag Dispersion zum chemisch-mechanischen Polieren von Metalloberflächen enthaltend Metalloxidpartikel und ein kationisches Polymer
KR101232442B1 (ko) * 2007-09-21 2013-02-12 캐보트 마이크로일렉트로닉스 코포레이션 아미노실란으로 처리된 연마제 입자를 이용한 연마 조성물 및 방법
US8491808B2 (en) * 2010-03-16 2013-07-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of polishing a substrate comprising polysilicon, silicon oxide and silicon nitride
US8623766B2 (en) * 2011-09-20 2014-01-07 Cabot Microelectronics Corporation Composition and method for polishing aluminum semiconductor substrates
US9434859B2 (en) * 2013-09-24 2016-09-06 Cabot Microelectronics Corporation Chemical-mechanical planarization of polymer films
US9752057B2 (en) * 2014-02-05 2017-09-05 Cabot Microelectronics Corporation CMP method for suppression of titanium nitride and titanium/titanium nitride removal
EP3103133A4 (en) * 2014-02-05 2017-10-04 Cabot Microelectronics Corporation Cmp method for suppression of titanium nitride and titanium/titanium nitride removal
KR101674083B1 (ko) * 2014-08-01 2016-11-08 주식회사 케이씨텍 금속막 연마용 슬러리
CN116288366A (zh) * 2014-10-21 2023-06-23 Cmc材料股份有限公司 腐蚀抑制剂以及相关的组合物及方法
US20160122590A1 (en) * 2014-10-31 2016-05-05 Air Products And Chemicals, Inc. Chemical Mechanical Polishing Slurry for Reducing Corrosion and Method of Use Therefor
CN104559798B (zh) * 2014-12-24 2017-08-29 上海新安纳电子科技有限公司 一种氧化铝基化学机械抛光液
CN104862729A (zh) * 2015-04-29 2015-08-26 安徽长城输送机械制造有限公司 一种金属表面抛光清洗剂
JP2017114966A (ja) * 2015-12-22 2017-06-29 Jsr株式会社 化学機械研磨用組成物およびそれを用いた化学機械研磨方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200946622A (en) * 2008-03-21 2009-11-16 Cabot Microelectronics Corp Compositions for polishing aluminum/copper and titanium in damascene structures
TW201422798A (zh) * 2012-11-02 2014-06-16 Fujimi Inc 研磨用組成物
CN106867411A (zh) * 2015-10-15 2017-06-20 三星电子株式会社 用于化学机械抛光的浆料组合物、其制法、抛光方法、制造半导体器件的方法和抛光设备

Also Published As

Publication number Publication date
KR20200043488A (ko) 2020-04-27
JP2020534680A (ja) 2020-11-26
EP4056659A1 (en) 2022-09-14
US20240199917A1 (en) 2024-06-20
EP3681963A4 (en) 2021-11-03
EP3681963B1 (en) 2023-05-10
JP7334148B2 (ja) 2023-08-28
WO2019055160A3 (en) 2019-04-25
EP4056659B1 (en) 2024-04-03
EP3681963A2 (en) 2020-07-22
CN111108161B (zh) 2022-06-14
US20190085205A1 (en) 2019-03-21
CN111108161A (zh) 2020-05-05
WO2019055160A2 (en) 2019-03-21
TW201920532A (zh) 2019-06-01

Similar Documents

Publication Publication Date Title
TWI687496B (zh) TiN-SiN CMP應用之高選擇性的氮化物抑制劑
JP6542760B2 (ja) 高分子フィルムの化学的−機械的平坦化
US9944828B2 (en) Slurry for chemical mechanical polishing of cobalt
JP5264750B2 (ja) 銅/ルテニウム/タンタル基板のcmp
JP6130380B2 (ja) アルミニウム半導体基材研摩用の組成物および研磨方法
JP2006196887A (ja) ケミカルメカニカルポリッシングのための選択的スラリー
KR20070001994A (ko) 연마제 및 연마 방법
JP2009545159A (ja) 除去速度の高い誘電体膜のためのcmp組成物
JP2010503211A (ja) 半導体材料のcmpのための組成物と研磨方法
JP2007012679A (ja) 研磨剤および半導体集積回路装置の製造方法
KR20100065304A (ko) 금속용 연마액 및 연마 방법
JP2009534834A (ja) 銅含有基材のためのcmp法
JP2018506607A (ja) コバルト及び/又はコバルト合金含有の基板の研磨のための化学機械研磨(cmp)組成物の使用
JP2018506609A (ja) コバルト及び/又はコバルト合金含有の基板の研磨のための化学機械研磨(cmp)組成物の使用
JP2018506608A (ja) コバルト及び/又はコバルト合金含有の基板の研磨のための化学機械研磨(cmp)組成物の使用
JP2020015899A (ja) タングステン化学機械研磨組成物
JP5144516B2 (ja) 砥材を有しない研磨システム
JP2005158867A (ja) 化学機械研磨用水系分散体を調製するためのセット
JP2010010717A (ja) 研磨剤および研磨方法
JP2006316167A (ja) Cmp用研磨組成物
JP2007013059A (ja) Cmp用研磨組成物
CN113195656A (zh) 含有铜和钌的基材的化学机械抛光
JP2007335531A (ja) 金属cmp用研磨組成物
KR100762091B1 (ko) 구리 다마신 공정용 화학 기계적 연마 슬러리 조성물
JP2009081302A (ja) 金属研磨用組成物、及びそれを用いた研磨方法