TWI681506B - 場效電晶體元件及其製造方法 - Google Patents

場效電晶體元件及其製造方法 Download PDF

Info

Publication number
TWI681506B
TWI681506B TW105135652A TW105135652A TWI681506B TW I681506 B TWI681506 B TW I681506B TW 105135652 A TW105135652 A TW 105135652A TW 105135652 A TW105135652 A TW 105135652A TW I681506 B TWI681506 B TW I681506B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
interlayer dielectric
effect transistor
field effect
Prior art date
Application number
TW105135652A
Other languages
English (en)
Other versions
TW201733002A (zh
Inventor
張哲誠
林志翰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201733002A publication Critical patent/TW201733002A/zh
Application granted granted Critical
Publication of TWI681506B publication Critical patent/TWI681506B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/4821Bridge structure with air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5381Crossover interconnections, e.g. bridge stepovers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1026Forming openings in dielectrics for dual damascene structures the via being formed by burying a sacrificial pillar in the dielectric and removing the pillar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Abstract

一種場效電晶體,包括基板、至少一閘極堆疊結構、源 極與汲極區域以及內連線結構。內連線結構包含連接至導電區域的金屬內連線、黏著鞘結構以及頂蓋層。黏著鞘結構配置於金屬內連線與層間介電層之間並圍繞金屬內連線。頂蓋層配置在金屬內連線上並覆蓋位於金屬內連線與層間介電層之間的間隙。

Description

場效電晶體元件及其製造方法
本發明實施例是有關於一種場效電晶體元件及其製造方法。
隨著半導體元件的線寬持續依照比例縮小,互補式金氧半場效電晶體兼容(CMOS-compatible)的半導體元件諸如平面場效電晶體或鰭狀場效電晶體(fin-type metal oxide semiconductor field effect transistor,FinFET)的閘極寬度和通道長度亦不斷縮減。對於高集成度和緊密設計規則的半導體元件而言,金屬觸點或內連線的形成頗具挑戰性。
一種場效電晶體元件包括基板、介電層、導電區域、層間介電層以及內連線結構。基板具有位於其上的至少一閘極堆疊結構以及分設在至少一閘極堆疊結構的相對側的源極與汲極區域。介電層配置在基板的上方並覆蓋至少一閘極堆疊結構以及源 極與汲極區域。導電區域配置在介電層的上方。層間介電層配置在導電區域的上方。內連線結構配置在層間介電層內以及配置在導電區域上,其中內連線結構包括配置在導電區域上的金屬內連線、配置在金屬內連線與層間介電層之間且圍繞金屬內連線的黏著鞘結構以及配置在金屬內連線上並覆蓋金屬內連線與層間介電層之間的間隙的頂蓋層。
一種場效電晶體元件包括基板、至少一閘極堆疊結構、源極與汲極區域、介電層、導電區域、第一層間介電層、停止層、第二層間介電層以及內連線結構。至少一閘極堆疊結構配置於基板上。源極與汲極區域分設在至少一閘極堆疊結構的相對側。介電層配置在基板的上方並覆蓋至少一閘極堆疊結構以及源極與汲極區域。導電區域配置在介電層的上方。第一層間介電層配置在導電區域上,其中第一層間介電層包括介層窗。停止層配置在第一層間介電層上。第二層間介電層配置在停止層上,其中第二層間介電層包括溝渠開口。內連線結構配置在第一層間介電層與第二層間介電層內以及配置在導電區域上,其中內連線結構包括金屬內連線、黏著鞘結構以及頂蓋層。金屬內連線配置在第一層間介電層的介層窗與第二層間介電層的溝渠開口內以及配置在導電區域上;黏著鞘結構配置在介層窗內以及配置在第一層間介電層與金屬內連線之間,其中黏著鞘結構圍繞位於介層窗內的金屬內連線;以及頂蓋層配置在金屬內連線上並覆蓋第二層間介電層以及金屬內連線與第二層間介電層之間的間隙。
一種場效電晶體元件的製造方法的步驟如下。提供基板,其中基板具有閘極堆疊結構、源極與汲極區域、覆蓋閘極堆疊結構以及源極與汲極區域的介電層以及配置在介電層上的導電區域。依序形成襯層、第一層間介電層以及第一光阻圖案於導電區域上以及在介電層的上方,其中第一層間介電層包含填充有填充材料的介層窗。形成停止層在第一層間介電層上。依序形成第二層間介電層與第二光阻圖案於停止層以及第一層間介電層上,其中第二層間介電層包含溝渠開口。移除部分停止層以暴露出第一層間介電層的一部分以及介層窗。形成共形的阻障層於第二光阻圖案與第二層間介電層上以及在溝渠開口的上方。移除部分阻障層。移除填充在介層窗內的填充材料以暴露出襯層以及介層窗。形成共形的黏著層於第二光阻圖案與第二層間介電層上以及在溝渠開口與介層窗的上方。移除部分共形的黏著層以及移除被介層窗暴露出來的襯層。形成金屬材料於第二光阻圖案與第二層間介電層的上方以填充介層窗與溝渠開口。通過移除位於第二層間介電層上方的第二光阻圖案以及多餘的金屬材料,形成金屬內連線。通過移除位於第一層間介電層上方的殘留的阻障層以及殘留的黏著層,形成圍繞金屬內連線的間隙以及圍繞金屬內連線的黏著鞘結構。通過形成位在第二層間介電層與金屬內連線上方並覆蓋間隙的頂蓋層,形成內連線結構。
10‧‧‧場效電晶體元件
12‧‧‧鰭狀場效電晶體元件
100‧‧‧基板
110‧‧‧閘極堆疊結構
120‧‧‧源極與汲極區域
130‧‧‧連接結構
140‧‧‧介電層
150‧‧‧導電區域
152‧‧‧襯層
152a‧‧‧殘留的襯層
160‧‧‧第一層間介電層
162‧‧‧第一光阻圖案
163‧‧‧介層窗
163b‧‧‧介層窗的側壁
164‧‧‧填充材料
168‧‧‧停止層
168a‧‧‧殘留的停止層
170‧‧‧第二層間介電層
172‧‧‧第二光阻圖案
173‧‧‧溝渠開口
173b‧‧‧溝渠開口的側壁
174‧‧‧阻障層
174a‧‧‧殘留的阻障層
174b‧‧‧殘留的阻障層的側壁
176‧‧‧黏著層
176a‧‧‧殘留的黏著層
176b‧‧‧黏著鞘結構
180‧‧‧金屬材料
180a‧‧‧金屬內連線
181‧‧‧間隙(空氣間隙)
182‧‧‧頂蓋層
188‧‧‧內連線結構
S300、S302、S304、S306、S308、S310、S312、S314、S316、S318、S320、S322、S324、S326‧‧‧步驟
根據以下的詳細說明並配合所附圖式以了解本發明實施例。應注意的是,根據本產業的一般作業,各種特徵並未按照比例繪製。事實上,為了清楚說明,可能任意的放大或縮小元件的尺寸。
圖1為依據一些本發明實施例的場效電晶體元件的剖面示意圖。
圖2A到圖2L為依據一些本發明實施例的場效電晶體元件的製造方法的各種階段所形成的部分場效電晶體元件的剖面示意圖。
圖3為依據一些本發明實施例的場效電晶體元件的製造方法的處理步驟的示例性流程圖。
以下揭露內容提供用於實施所提供的標的之不同特徵的許多不同實施例或實例。以下所描述的構件及配置的具體實例是為了以簡化的方式傳達本發明實施例為目的。當然,這些僅僅為實例而非用以限制。舉例來說,於以下描述中,在第一特徵上方或在第一特徵上形成第二特徵可包括第二特徵與第一特徵形成為直接接觸的實施例,且亦可包括第二特徵與第一特徵之間可形成有額外特徵使得第二特徵與第一特徵可不直接接觸的實施例。此外,本發明實施例在各種實例中可使用相同的元件符號及/或字母來指代相同或類似的部件。元件符號的重複使用是為了簡單及清楚起見,且並不表示所欲討論的各個實施例及/或配置本身之間的 關係。
另外,為了易於描述附圖中所繪示的一個構件或特徵與另一組件或特徵的關係,本文中可使用例如「在...下」、「在...下方」、「下部」、「在...上」、「在...上方」、「上部」及類似術語的空間相對術語。除了附圖中所繪示的定向之外,所述空間相對術語意欲涵蓋元件在使用或操作時的不同定向。設備可被另外定向(旋轉90度或在其他定向),而本文所用的空間相對術語相應地作出解釋。
本發明實施例描述一種場效電晶體元件的示例性製造方法及由此方式所形成的場效電晶體元件。場效電晶體元件例如是形成在單晶體半導體基板(monocrystalline semiconductor substrate)上,例如在一些實施方式中的基體矽基板(bulk silicon substrate)。在一些實施方式中,做為替代,場效電晶體元件例如形成在絕緣層上有矽(silicon-on-insulator,SOI)基板或絕緣層上有鍺(germanium-on-insulator,GOI)基板上。另外,依據本發明實施例,矽基板例如包括其他導電層、摻雜區或其他半導體元件(諸如:電晶體、二極體或類似物等)。本發明實施例旨在提供進一步的解釋,但不用於限制其範圍。
圖1為依據一些本發明實施例的場效電晶體元件的剖面示意圖。在圖1中,在一些特定實施方式中,場效電晶體元件10包括形成在基板100上的至少一閘極堆疊結構110以及位於基板100內並分設在閘極堆疊結構110的相對兩側的源極與汲極區域120。在一些實施方式中,場效電晶體元件10更包括位於閘極堆 疊結構110與源極與汲極區域120上方且覆蓋閘極堆疊結構110與源極與汲極區域120的介電層140以及電性連接至源極與汲極區域120的至少一連接結構130。在一些實施方式中,場效電晶體元件10可以是鰭狀場效電晶體(FinFET)元件,閘極堆疊結構110包括多晶矽閘極結構或替換金屬閘極結構(replacement metal gate structure),且源極與汲極區域120包括應變源極與汲極區域(strained source and drain regions)。介電層140例如包括單層或多層的介電層或層間介電層(inter-dielectric layer)。在一些實施方式中,場效電晶體元件10包括位在介電層140上方的導電區域150以及連接至導電區域150的內連線結構188。在特定實施方式中,導電區域150電性連接至位於其下方的連接結構130或更進一步電性連接至額外的連接結構,甚或在導電區域150以及連接結構130之間可能配置介電層(未繪示)。另外,在一些實施方式中,導電區域150沒有電性連結至連接結構130,又或者內連線結構188為電性浮置(electrically floating)。場效電晶體元件10的內連線結構188旨在設置於閘極堆疊結構110上用以作為內連結(interconnection),即建立內部構件之間的電性連結。
圖2A到圖2L為依據一些本發明實施例的場效電晶體元件的製造方法的各種階段所形成的部分場效電晶體元件的剖面示意圖。在本發明實施例中,是以鰭狀場效電晶體元件12為例,但不以此為限制,且主要是針對本發明實施例的場效電晶體元件中位於導電區域上的至少一內連線結構的部分進行說明。
請參照圖1與圖2A,在一些實施方式中,提供基板100,其中基板100包括形成在基板100上的至少一閘極堆疊結構110 以及位於基板100內並分設在閘極堆疊結構110的相對兩側的源極與汲極區域120。此外,更提供電性連接至源極與汲極區域120的至少一連接結構130、位於閘極堆疊結構110、源極與汲極區域120以及連接結構130的上方並覆蓋閘極堆疊結構110、源極與汲極區域120以及連接結構130的介電層140以及位於介電層140上方的導電區域150。在一些實施方式中,基板100例如是單晶體矽半導體基板(monocrystalline silicon semiconductor substrate)或SOI基板。圖1中所示出的一個或多個閘極堆疊結構110、源極與汲極區域120、連接結構130以及介電層140僅旨在作為說明目的,不應用以限定本發明實施例的結構。
請參照圖2A,提供位於基板100上的導電區域150。在一些實施方式中,導電區域150包括接觸端子(contact terminal)或金屬線段(metal line)。舉例來說,導電區域150可以電性連結至位於其下方的源極與汲極區域120(圖1)或電性連結至閘極堆疊結構110中的閘極電極。在一些實施方式中,在導電區域150上以及於介電層140的上方依序形成襯層(liner layer)152、第一層間介電層160以及第一光阻圖案(first resist pattern)162。在一些實施方式中,襯層152的材料包括碳化矽(silicon carbide,SiC)、氮碳化矽(silicon carbonitride,SiCN)或其它合適的介電材料。在一些實施方式中,襯層152例如是通過化學氣相沈積(chemical vapor deposition,CVD)製程而形成。在一些實施方式中,以第一光阻圖案162為罩幕,圖案化第一層間介電層160,形成具有至少一介層窗163的第一層間介電層160。第一光阻圖案162包括用以定義第一層間介電層160的介層窗163的介層窗孔圖 案(via-hole pattern)。在一些實施方式中,第一層間介電層160的圖案化(即:介層窗163的形成)包括執行一個或多個蝕刻製程,其包括非等向性蝕刻(anisotropic etching)製程、反應性離子蝕刻(reactive ion etching,RIE)製程、等向性蝕刻(isotropic etching)製程或其組合。在一些實施方式中,介層窗163的形成包括蝕刻第一層間介電層160以暴露出襯層152並形成具有基本上垂直的蝕刻輪廓(substantially vertical etch profile)的介層窗163。在一些實施方式中,第一層間介電層160被蝕刻直至暴露出襯層152。藉由襯層152的存在,使得形成介層窗時的製程窗口變大。在一些特定實施方式中,第一層間介電層160的材料包括選自氧化矽(silicon oxide)、氮化矽(silicon nitride)、碳化矽(silicon carbide,SiC)、氮碳化矽(silicon carbonitride,SiCN)或低介電常數(low-k)的介電材料中的至少一種介電材料。舉例來說,低介電常數的介電材料具有小於約4.0的介電常數(即:K值小於4.0),且例如是包括以低壓化學氣相沈積(low-pressure chemical vapor deposition,LPCVD)製程、電漿增強化學氣相沈積(plasma-enhanced chemical vapor deposition,PECVD)製程或旋塗法(spin-on method)所形成的含碳氧化物或矽酸鹽玻璃(silicate glass)。在一些實施方式中,取決於適當的蝕刻選擇性(etching selectivity),第一層間介電層160與襯層152的材料可以是相同或不同。
請參考圖2B,在一些實施方式中,於介層窗163內形成填充材料164,且填充材料164填滿介層窗163。稍後,在一些實施方式中,在第一層間介電層160上形成覆蓋填充材料164的停 止層(stop layer)168。在一些實施方式中,填充材料164的形成方式例如是先形成覆蓋第一層間介電層160且填滿介層窗163的間隙填充材料(gap-filling material,未繪示),再沿著第一光阻圖案162進行回蝕(etching-back)製程,以移除多餘的間隙填充材料。在一些實施方式中,停止層168的材料例如是氮化矽、氮氧化矽(silicon oxynitride)、氮碳化矽(silicon carbonitride,SiCN)、氧化碳矽(silicon carbon oxide,SiCO)、碳化矽(silicon carbide,SiC)、氮氧化碳矽(silicon carbon oxynitride,SiCON)或其組合。在一些實施方式中,停止層168例如是通過執行熱氧化法(thermal oxidation)、低壓化學氣相沈積(low-pressure chemical vapor deposition,LPCVD)或減壓化學氣相沈積(plasma-enhanced chemical vapor deposition,RECVD)或其組合等製程來形成。取決於產品設計與蝕刻製程的要求,停止層168的厚度可以對應調整。
請參照圖2C,在一些實施方式中,在停止層168以及第一層間介電層160上依序形成第二層間介電層170以及第二光阻圖案172。在一些特定實施方式中,以第二光阻圖案172為罩幕,圖案化第二層間介電層170,形成具有至少一溝渠開口173的第二層間介電層170。第二光阻圖案172包括用以定義第二層間介電層170的溝渠開口173的溝渠孔圖案(trench-hole pattern)。在一些實施方式中,第二層間介電層170被蝕刻直至暴露出停止層168。在一些實施方式中,第二層間介電層170的圖案化(即:溝渠開口173的形成)包括執行一個或多個蝕刻製程,其包括非等向性蝕刻(anisotropic etching)製程、反應性離子蝕刻(reactive ion etching,RIE)製程、等向性蝕刻(isotropic etching)製程或其組合。在一些實施方式中,溝渠開口173的形成包括蝕刻第二層間介電層170以暴露出停止層168並形成具有基本上垂直的蝕刻輪廓(substantially vertical etch profile)的溝渠開口173。藉由停止層168的存在,使得形成溝渠開口173時的製程窗口變大。在一些特定實施方式中,第二層間介電層170的材料包括選自氧化矽(silicon oxide)、氮化矽(silicon nitride)、碳化矽(silicon carbide,SiC)、氮碳化矽(silicon carbonitride,SiCN)或低介電常數(low-k)的介電材料中的至少一種介電材料。舉例來說,低介電常數的介電材料具有小於約4.0的介電常數(即:K值小於4.0),且例如是包括以低壓化學氣相沈積(low-pressure chemical vapor deposition,LPCVD)製程、電漿增強化學氣相沈積(plasma-enhanced chemical vapor deposition,PECVD)製程或旋塗法(spin-on method)所形成的含碳氧化物或矽酸鹽玻璃(silicate glass)。在一些實施方式中,基於適當的蝕刻選擇性(etching selectivity),第二層間介電層170與停止層168的材料是不相同。在一個實施方式中,第一層間介電層160的材料與第二層間介電層170的材料可以是相同或不同。在一些特定實施方式中,第一層間介電層160、第二層間介電層170以及夾置於上述兩者之間的停止層168一起可被視為具有停止層嵌入其內部的單層層間介電層。
請參照圖2D,在一些特定實施方式中,移除被溝渠開口173暴露出來的停止層168,其中殘留的停止層(remained stop layer)168位於第二層間介電層170的下方,使得第一層間介電層 160的一部分以及填充材料164被暴露出來。在一些實施方式中,通過執行一個或多個蝕刻製程例如非等向性蝕刻(anisotropic etching)製程、反應性離子蝕刻(reactive ion etching,RIE)製程、等向性蝕刻(isotropic etching)製程或其組合來移除停止層168。在一個實施方式中,基於第一層間介電層160與停止層168的材料選擇,其旨在控制蝕刻製程中的蝕刻選擇性,以選擇性地移除被暴露出來的停止層168的同時,不損傷位於停止層168下方的第一層間介電層160。由於蝕刻停止層168時的製程窗口較小,且第一層間介電層160有時會發生過度蝕刻(over-etching),因此即便對蝕刻選擇性進行調整並加以控制,但是在蝕刻製程中,靠近溝渠開口173的邊界(edge(s))的第一層間介電層160可能會產生些微侵蝕(minor encroachment)。
如圖2E所示,在一些實施方式中,在第二光阻圖案172與第二層間介電層170上以及在溝渠開口173的上方形成共形的(conformal)阻障層174,且阻障層174共形地(conformally)覆蓋溝渠開口173的側壁173b、第一層間介電層160以及被溝渠開口173暴露出來的填充材料174。在一些實施方式中,阻障層174的材料包括氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、氮碳化矽(silicon carbonitride,SiCN)或其組合。在一些實施方式中,阻障層174具有良好的共形性(conformity),且阻障層174是通過原子層沈積法(atomic layer deposition,ALD)而形成。在一些特定的實施方式中,阻障層174是共形於溝渠開口173的輪廓且覆蓋靠近溝渠開口173的邊界的第一層間介電層160中可能會產生的些微侵蝕。藉由這種共形的阻障層174,上述 侵蝕可被覆蓋並隔離於後續形成的內連線插塞(interconnect plug),從而抑制在通孔或溝渠底部下方形成虎牙(tiger teeth)。
請參照圖2F,在一些實施方式中,移除部分阻障層174,且殘留的阻障層(remained barrier layer)174a作為側壁阻障層是保留在溝渠開口173的側壁173b上。在一些特定實施方式中,移除部分阻障層174的方式包括執行非等向性蝕刻製程以暴露出溝渠開口173的底部、第一層間介電層160以及填充材料164,且殘留的阻障層174a覆蓋溝渠開口173的側壁173b以及任何可能產生的侵蝕。
請參照圖2G,在一些實施方式中,移除填充材料164以暴露出襯層152以及介層窗163。在一些實施方式中,移除填充材料164的方式包括執行非等向性蝕刻製程或等向性蝕刻製程。
請參照圖2H,在一些實施方式中,在第二光阻圖案172與第二層間介電層170上以及在溝渠開口173與介層窗163的上方形成共形的(conformal)黏著層176。在一些實施方式中,黏著層176共形地(conformally)覆蓋殘留的阻障層174a的側壁174b、介層窗163的側壁163b以及被暴露出來的襯層152。在一些特定實施方式中,黏著層176具有良好的共形性(conformity)及階梯覆蓋性(step coverage),且黏著層176通過原子層沈積法(atomic layer deposition,ALD)或電漿增強原子層沈積法(plasma-enhanced atomic layer deposition,PEALD)而形成。在一些特定的實施方式中,黏著層176是共形於介層窗163的輪廓,並覆蓋殘留的阻障層174a的側壁174b以及襯層152。在一些實施方式中,黏著層176包括單層或多層結構且可作為黏著層及擴散 障礙層(diffusion barrier layer)。在一些實施方式中,黏著層176的材料包括氮化矽、氮氧化矽(silicon oxynitride)、氮碳化矽(silicon carbonitride,SiCN)、氮氧化碳矽(silicon carbon oxynitride,SiCON)、氮化鉭(tantalum nitride,TaN)、氮化鈦(titanium nitride,TiN)或其組合。
請參照圖2I,在一些實施方式中,移除部分黏著層176以形成殘留的黏著層(remained adhesive layer)176a,且移除被介層窗163暴露出來的襯層152以形成殘留的襯層(remained liner layer)152a。在一些特定的實施方式中,殘留的黏著層176a位於殘留的阻障層174a的側壁174b以及介層窗163的側壁163b上,並覆蓋殘留的阻障層174a的側壁174b以及介層窗163的側壁163b。在一些特定實施方式中,移除部分黏著層176以及移除部分襯層152的方式包括執行至少一非等向性蝕刻製程,以移除位於第二光阻圖案172上的黏著層176,並蝕刻貫穿黏著層176以及襯層152至底部以暴露出導電區域150。藉由黏著層176的存在,襯層152的蝕刻可受到良好的控制且不損傷第二層間介電層170,進而擴大蝕刻貫穿襯層152時的製程窗口並達到控制開口輪廓(opening profiles)。此後,如圖2I所示,在一些實施方式中,在第二層間介電層170與第二光阻圖案172的上方形成金屬材料180,且金屬材料180填入溝渠開口173以及介層窗163內。在一些特定實施方式中,金屬材料180覆蓋殘留的黏著層176a以及被暴露出來的導電區域150。金屬材料180的材料例如包括鎢(tungsten,W)、銅(copper,Cu)或它們的合金。在一些實施方式中,金屬材料180例如是通過執行化學氣相沈積(chemical vapor deposition,CVD)、物理氣相沈積(physical chemical vapor deposition,PVD)或電化學電鍍法(electrochemical plating,ECP)等製程來形成。
請參照圖2J,在一些實施方式中,通過執行平坦化製程,移除第二層間介電層170上方的第二光阻圖案172以及多餘的金屬材料180,以形成金屬內連線180a,且金屬內連線180a配置在導電區域150上並圍繞殘留的黏著層176a。金屬內連線180a貫穿襯層152與導電區域150接觸。在一些特定實施方式中,金屬內連線180a接觸導電區域150。在一個實施方式中,平坦化製程包括化學機械拋光(chemical metal polishing,CMP)製程。
請參照圖2K,移除位於第一層間介電層160上殘留的阻障層174a以及殘留的黏著層176a,以形成間隙(空氣間隙)181以及黏著鞘結構(adhesion sheath structure)176b。間隙181位於金屬內連線180a與第二層間介電層170之間,並環繞金屬內連線180a的上部(upper portion)。黏著鞘結構176b位於金屬內連線180a與第一層間介電層160之間,並環繞金屬內連線180a的下部(lower portion)。在一些特定實施方式中,移除位於第一層間介電層160上殘留的阻障層174a以及殘留的黏著層176a的方式包括執行一個或多個蝕刻製程,其包括等向性蝕刻(isotropic etching)製程、非等向性蝕刻(anisotropic etching)製程或其組合。藉由移除殘留的阻障層174a而形成的間隙181能夠將被暴露出來的侵蝕與金屬連接線180a隔離開來,進一步地改善產率(yield)與性能(performance)。此外,間隙181亦降低整個內連線結構的介電常數。
請參照圖2L,在第二層間介電層170與金屬內連線180a上方形成覆蓋間隙181的頂蓋層182,至此,內連線結構188已完成。在一些特定實施方式中,頂蓋層182作為後續製程的蝕刻停止層,且頂蓋層182的材料包括氮化物。在一些實施方式中,頂蓋層182覆蓋間隙181但並未填滿間隙181,且藉由圍繞金屬內連線180a的殘留的空間間隙181,金屬內連線180a為空間間隙所隔絕(air-gap isolated)。內連線結構188至少包括金屬內連線180a以及黏著鞘結構176b。此外,間隙181將金屬內連線180a與第一層間介電層160/第二層間介電層170隔離開來,而頂蓋層182可被視為內連線結構188的一部分。
在上述的本發明實施例中,藉由停止層168的存在,使得形成溝渠開口173時的製程窗口變大。而且,阻障層174以及黏著層176的形成有助於更好地控制溝渠開口與介層窗的關鍵尺寸(critical dimension,CD)。位於頂蓋層、停止層以及第二層間介電層之間的間隙(gap)圍繞金屬內連線,並將金屬內連線與第二層間介電層隔離開來,從而提供內連線結構更低的電阻,並由抑制虎牙問題來改善可靠性。
在內連線結構中,間隙181的形成可降低接觸電阻並提供所需的性能且避免虎牙問題。因此,對於窄間距(narrow spacing)的元件來說,在不犧牲內連線結構的可靠性之前提下,內連線結構的尺寸(size)與維度(dimension)可進一步被降低。基於此,上述本發明實施例的內連線結構與具有所述內連線結構的場效電晶體元件具有較低的接觸電阻、改善的可靠性及較好的性能。
圖3為依據一些本發明實施例的場效電晶體元件的製造 方法的處理步驟的示例性流程圖。
雖然上述的方法的處理步驟是以一系列的動作或事件做為示例以進行說明,但應當理解的是,這些動作與事件的說明順序不應解釋為具備任何限制性的意義。此外,並非所有經說明的製程或步驟皆需於一個或多個的本發明實施例中具以實行。
在步驟S300中,提供基板,其中基板具有至少一閘極堆疊結構、源極與汲極區域、覆蓋閘極堆疊結構以及源極與汲極區域的介電層以及配置在介電層上的導電區域。基板是矽基板或SOI基板。在步驟S302中,依序形成襯層、第一層間介電層以及第一光阻圖案於導電區域上以及在介電層的上方。在一些實施方式中,第一層間介電層包括暴露出襯層的介層窗以及填滿介層窗的填充材料。在步驟S304中,形成停止層在第一層間介電層上。在步驟S306中,依序形成第二層間介電層與第二光阻圖案於停止層以及第一層間介電層上。在一些實施方式中,第二層間介電層包含暴露出停止層的溝渠開口。在步驟S308中,移除部分停止層以暴露出第一層間介電層的一部分以及介層窗。在一些實施方式中,殘留的停止層位於第一層間介電層與第二層間介電層之間。在步驟S310中,形成共形的阻障層於第二光阻圖案與第二層間介電層上以及在溝渠開口的上方。在步驟S312中,移除部分阻障層。在一些實施方式中,殘留的阻障層覆蓋溝渠開口的側壁。在步驟S314中,移除填充在介層窗內的填充材料以暴露出襯層以及介層窗。在步驟S316中,形成共形的黏著層於第二光阻圖案與第二層間介電層上以及在溝渠開口與介層窗的上方。在步驟S318中,移除部分共形的黏著層以及移除被介層窗暴露出來的襯層。在一些 實施方式中,殘留的黏著層覆蓋介層窗的側壁以及殘留的阻障層。在步驟S320中,形成金屬材料於第二光阻圖案與第二層間介電層的上方以填充介層窗與溝渠開口。在步驟S322中,通過移除位於第二層間介電層上方的第二光阻圖案以及多餘的金屬材料,形成金屬內連線。在步驟S324中,通過移除位於第一層間介電層上方的殘留的阻障層以及殘留的黏著層,形成圍繞金屬內連線的間隙以及圍繞金屬內連線的黏著鞘結構。在步驟S326中,通過形成位在第二層間介電層與金屬內連線上方並覆蓋間隙的頂蓋層,形成內連線結構。
在上述本發明實施例中,通過停止層、阻障層以及黏著層的形成,介層窗與溝渠開口的蝕刻輪廓可受到良好的控制。藉由襯層與停止層的存在,使得形成介層窗或溝渠開口時的製程窗口變大。對於具有以緊密間距或間隔排列的閘極堆疊結構的元件來說,藉由阻障層及/或黏著層的形成可進一步降低內連線結構的尺寸與維度,並且不會犧牲內連線結構的可靠性。另外,藉由移除阻障層以及黏著層所得到的間隙有助於降低整個內連線結構的介電常數,進而提升元件的電性性能。
在一些實施方式中,一種場效電晶體元件包括基板、介電層、導電區域、層間介電層以及內連線結構。基板具有位於其上的至少一閘極堆疊結構以及分設在至少一閘極堆疊結構的相對側的源極與汲極區域。介電層配置在基板的上方並覆蓋至少一閘極堆疊結構以及源極與汲極區域。導電區域配置在介電層的上方。層間介電層配置在導電區域的上方。內連線結構配置在層間介電層內以及配置在導電區域上,其中內連線結構包括配置在導 電區域上的金屬內連線、配置在金屬內連線與層間介電層之間且圍繞金屬內連線的黏著鞘結構以及配置在金屬內連線上並覆蓋金屬內連線與層間介電層之間的間隙的頂蓋層。
在一些實施方式中,層間介電層包含嵌入在層間介電層內的停止層。在一些實施方式中,層間介電層包含配置在導電區域上的第一層間介電層、配置在第一層間介電層上的停止層以及配置在停止層上的第二層間介電層,且黏著鞘結構配置在第一層間介電層與金屬內連線之間。在一些實施方式中,場效電晶體元件更包括襯層,其中襯層配置在導電區域上且位在層間介電層與導電區域之間,其中金屬內連線貫穿襯層與導電區域接觸。在一些實施方式中,場效電晶體元件更包括連接結構,其中連接結構連接至導電區域並電性連接至源極與汲極區域。在一些實施方式中,黏著鞘結構的材料包括氮化矽、氮氧化矽、氮碳化矽、氮氧化碳矽、氮化鉭、氮化鈦或其組合,且金屬內連線的材料包括銅、鎢或它們的合金。
在一些實施方式中,一種場效電晶體元件包括基板、至少一閘極堆疊結構、源極與汲極區域、介電層、導電區域、第一層間介電層、停止層、第二層間介電層以及內連線結構。至少一閘極堆疊結構配置於基板上。源極與汲極區域分設在至少一閘極堆疊結構的相對側。介電層配置在基板的上方並覆蓋至少一閘極堆疊結構以及源極與汲極區域。導電區域配置在介電層的上方。第一層間介電層配置在導電區域上,其中第一層間介電層包括介層窗。停止層配置在第一層間介電層上。第二層間介電層配置在停止層上,其中第二層間介電層包括溝渠開口。內連線結構配置 在第一層間介電層與第二層間介電層內以及配置在導電區域上,其中內連線結構包括金屬內連線、黏著鞘結構以及頂蓋層。金屬內連線配置在第一層間介電層的介層窗與第二層間介電層的溝渠開口內以及配置在導電區域上;黏著鞘結構配置在介層窗內以及配置在第一層間介電層與金屬內連線之間,其中黏著鞘結構圍繞位於介層窗內的金屬內連線;以及頂蓋層配置在金屬內連線上並覆蓋第二層間介電層以及金屬內連線與第二層間介電層之間的間隙。
在一些實施方式中,位於頂蓋層、停止層以及第二層間介電層之間的間隙圍繞金屬內連線並將金屬內連線從第二層間介電層隔離開來。在一些實施方式中,場效電晶體元件更包括襯層,其中襯層配置在導電區域上且位在第一層間介電層與導電區域之間,其中金屬內連線貫穿襯層與導電區域接觸。在一些實施方式中,場效電晶體元件更包括連接結構,其中連接結構連接至導電區域並電性連接至源極與汲極區域。在一些實施方式中,黏著鞘結構的材料包括氮化矽、氮氧化矽、氮碳化矽、氮氧化碳矽、氮化鉭、氮化鈦或其組合,且金屬內連線的材質包括銅、鎢或它們的合金。
在一些實施方式中,一種場效電晶體元件的製造方法的步驟如下。提供基板,其中基板具有閘極堆疊結構、源極與汲極區域、覆蓋閘極堆疊結構以及源極與汲極區域的介電層以及配置在介電層上的導電區域。依序形成襯層、第一層間介電層以及第一光阻圖案於導電區域上以及在介電層的上方,其中第一層間介電層包含填充有填充材料的介層窗。形成停止層在第一層間介電 層上。依序形成第二層間介電層與第二光阻圖案於停止層以及第一層間介電層上,其中第二層間介電層包含溝渠開口。移除部分停止層以暴露出第一層間介電層的一部分以及介層窗。形成共形的阻障層於第二光阻圖案與第二層間介電層上以及在溝渠開口的上方。移除部分阻障層。移除填充在介層窗內的填充材料以暴露出襯層以及介層窗。形成共形的黏著層於第二光阻圖案與第二層間介電層上以及在溝渠開口與介層窗的上方。移除部分共形的黏著層以及移除被介層窗暴露出來的襯層。形成金屬材料於第二光阻圖案與第二層間介電層的上方以填充介層窗與溝渠開口。通過移除位於第二層間介電層上方的第二光阻圖案以及多餘的金屬材料,形成金屬內連線。通過移除位於第一層間介電層上方的殘留的阻障層以及殘留的黏著層,形成圍繞金屬內連線的間隙以及圍繞金屬內連線的黏著鞘結構。通過形成位在第二層間介電層與金屬內連線上方並覆蓋間隙的頂蓋層,形成內連線結構。
在一些實施方式中,依序形成襯層、第一層間介電層以及第一光阻圖案於導電區域上以及位在介電層的上方包括以第一光阻圖案為罩幕,圖案化第一層間介電層以形成介層窗,並形成填充材料於介層窗內。在一些實施方式中,依序形成第二層間介電層與第二光阻圖案於停止層以及第一層間介電層上包括以第二光阻圖案為罩幕,圖案化第二層間介電層以形成暴露出停止層的溝渠開口。在一些實施方式中,形成共形的阻障層包括原子層沈積製程,且阻障層的材料包括氮化矽、氮氧化矽、氮碳化矽或其組合。在一些實施方式中,形成共形的黏著層包括原子層沈積製程或電漿增強原子層沈積製程,且阻障層的材料包括氮化矽、氮 氧化矽、氮碳化矽、氮氧化碳矽、氮化鉭、氮化鈦或其組合。在一些實施方式中,移除部分阻障層包括移除位於第一層間介電層與第二層間介電層上的阻障層,並保留覆蓋於溝渠開口的側壁上殘留的阻障層。在一些實施方式中,移除部分共形的黏著層以及移除被接觸窗暴露出來的襯層包括執行至少一非等向性蝕刻製程,以移除位於第二光阻圖案上的黏著層並蝕刻黏著層以及襯層以暴露出導電區域。在一些實施方式中,形成金屬內連線包括執行平坦化製程,以移除第二層間介電層上方的第二光阻圖案以及多餘的金屬材料。在一些實施方式中,金屬材料包括銅、鎢或它們的合金,且金屬材料的形成方式包括化學氣相沈積法、物理氣相沈積法或電化學電鍍法。
以上概述了數個實施例的特徵,使本領域具有通常知識者可更佳了解本揭露的態樣。本領域具有通常知識者應理解,其可輕易地使用本揭露作為設計或修改其他製程與結構的依據,以實行本文所介紹的實施例的相同目的及/或達到相同優點。本領域具有通常知識者還應理解,這種等效的配置並不悖離本揭露的精神與範疇,且本領域具有通常知識者在不悖離本揭露的精神與範疇的情況下可對本文做出各種改變、置換以及變更。
10‧‧‧場效電晶體元件
100‧‧‧基板
110‧‧‧閘極堆疊結構
120‧‧‧源極與汲極區域
130‧‧‧連接結構
140‧‧‧介電層
150‧‧‧導電區域
152a‧‧‧殘留的襯層
160‧‧‧第一層間介電層
168a‧‧‧殘留的停止層
170‧‧‧第二層間介電層
176b‧‧‧黏著鞘結構
180a‧‧‧金屬內連線
181‧‧‧間隙(空氣間隙)
182‧‧‧頂蓋層
188‧‧‧內連線結構

Claims (20)

  1. 一種場效電晶體元件,包括:基板,具有位於其上的至少一閘極堆疊結構以及分設在所述至少一閘極堆疊結構的相對側的源極與汲極區域;介電層,配置在所述基板的上方並覆蓋所述至少一閘極堆疊結構以及所述源極與汲極區域;導電區域,配置在所述介電層的上方;層間介電層,配置在所述導電區域的上方;以及內連線結構,配置在所述層間介電層內以及配置在所述導電區域上,其中所述內連線結構包括:金屬內連線,配置在所述導電區域上;黏著鞘結構,配置在所述金屬內連線與所述層間介電層之間,且圍繞所述金屬內連線;以及頂蓋層,配置在所述金屬內連線上,並覆蓋所述金屬內連線與所述層間介電層之間的間隙,其中所述間隙暴露出一部分未被所述黏著鞘結構覆蓋的所述金屬內連線的表面。
  2. 如申請專利範圍第1項所述的場效電晶體元件,其中所述層間介電層包含嵌入在所述層間介電層內的停止層。
  3. 如申請專利範圍第1項所述的場效電晶體元件,其中所述層間介電層包含配置在所述導電區域上的第一層間介電層、配 置在所述第一層間介電層上的停止層以及配置在所述停止層上的第二層間介電層,且所述黏著鞘結構配置在所述第一層間介電層與所述金屬內連線之間。
  4. 如申請專利範圍第1項所述的場效電晶體元件,更包括襯層,其中所述襯層配置在所述導電區域上且位在所述層間介電層與所述導電區域之間,其中所述金屬內連線貫穿所述襯層與所述導電區域接觸。
  5. 如申請專利範圍第1項所述的場效電晶體元件,更包括連接結構,其中所述連接結構連接至所述導電區域並電性連接至所述源極與汲極區域。
  6. 如申請專利範圍第1項所述的場效電晶體元件,其中所述黏著鞘結構的材料包括氮化矽、氮氧化矽、氮碳化矽、氮氧化碳矽、氮化鉭、氮化鈦或其組合,且所述金屬內連線的材料包括銅、鎢或它們的合金。
  7. 一種場效電晶體元件,包括:基板;至少一閘極堆疊結構,配置於所述基板上;源極與汲極區域,分設在所述至少一閘極堆疊結構的相對側; 介電層,配置在所述基板的上方並覆蓋所述至少一閘極堆疊結構以及所述源極與汲極區域;導電區域,配置在所述介電層的上方;第一層間介電層,配置在所述導電區域上,其中所述第一層間介電層包括介層窗;停止層,配置在所述第一層間介電層上;第二層間介電層,配置在所述停止層上,其中所述第二層間介電層包括溝渠開口;以及內連線結構,配置在所述第一層間介電層與所述第二層間介電層內以及配置在所述導電區域上,其中所述內連線結構包括:金屬內連線,配置在所述第一層間介電層的所述介層窗與所述第二層間介電層的所述溝渠開口內以及配置在所述導電區域上;黏著鞘結構,配置在所述介層窗內以及配置在所述第一層間介電層與所述金屬內連線之間,其中所述黏著鞘結構圍繞位於所述介層窗內的所述金屬內連線;以及頂蓋層,配置在所述金屬內連線上並覆蓋所述第二層間介電層以及所述金屬內連線與所述第二層間介電層之間的間隙,其中所述金屬內連線的表面的一部分被位在所述第一層間介電層上方的所述間隙暴露出來。
  8. 如申請專利範圍第7項所述的場效電晶體元件,其中位於所述頂蓋層、所述停止層以及所述第二層間介電層之間的所述間隙圍繞所述金屬內連線並將所述金屬內連線從所述第二層間介電層隔離開來。
  9. 如申請專利範圍第7項所述的場效電晶體元件,更包括襯層,其中所述襯層配置在所述導電區域上且位在所述第一層間介電層與所述導電區域之間,其中所述金屬內連線貫穿所述襯層與所述導電區域接觸。
  10. 如申請專利範圍第7項所述的場效電晶體元件,更包括連接結構,其中所述連接結構連接至所述導電區域並電性連接至所述源極與汲極區域。
  11. 如申請專利範圍第7項所述的場效電晶體元件,其中所述黏著鞘結構的材料包括氮化矽、氮氧化矽、氮碳化矽、氮氧化碳矽、氮化鉭、氮化鈦或其組合,且所述金屬內連線的材質包括銅、鎢或它們的合金。
  12. 一種場效電晶體元件的製造方法,包括:提供基板,其中所述基板具有閘極堆疊結構、源極與汲極區域、覆蓋所述閘極堆疊結構以及所述源極與汲極區域的介電層以 及配置在所述介電層上的導電區域;依序形成襯層、第一層間介電層以及第一光阻圖案於所述導電區域上以及在所述介電層的上方,其中所述第一層間介電層包含填充有填充材料的介層窗;形成停止層在所述第一層間介電層上;依序形成第二層間介電層與第二光阻圖案於所述停止層以及所述第一層間介電層上,其中所述第二層間介電層包含溝渠開口;移除部分的所述停止層以暴露出所述第一層間介電層的一部分以及所述介層窗;形成共形的阻障層於所述第二光阻圖案與所述第二層間介電層上以及在所述溝渠開口的上方;移除部分的所述阻障層;移除填充在所述介層窗內的所述填充材料以暴露出所述襯層以及所述介層窗;形成共形的黏著層於所述第二光阻圖案與所述第二層間介電層上以及在所述溝渠開口與所述介層窗的上方;移除部分的所述黏著層以及移除被所述介層窗暴露出來的所述襯層;形成金屬材料於所述第二光阻圖案與所述第二層間介電層的上方以填充所述介層窗與所述溝渠開口;通過移除位於所述第二層間介電層上方的所述第二光阻圖案以及多餘的所述金屬材料,形成金屬內連線; 通過移除位於所述第一層間介電層上方的殘留的所述阻障層以及殘留的所述黏著層,形成圍繞所述金屬內連線的間隙並部分地暴露所述金屬內連線的表面,以及形成圍繞所述金屬內連線的黏著鞘結構;以及通過形成位在所述第二層間介電層與所述金屬內連線上方並覆蓋所述間隙的頂蓋層,形成內連線結構。
  13. 如申請專利範圍第12項所述的場效電晶體元件的製造方法,其中依序形成所述襯層、所述第一層間介電層以及所述第一光阻圖案於所述導電區域上以及位在所述介電層的上方包括以所述第一光阻圖案為罩幕,圖案化所述第一層間介電層以形成所述介層窗,並形成所述填充材料於所述介層窗內。
  14. 如申請專利範圍第13項所述的場效電晶體元件的製造方法,其中依序形成所述第二層間介電層與所述第二光阻圖案於所述停止層以及所述第一層間介電層上包括以所述第二光阻圖案為罩幕,圖案化所述第二層間介電層以形成暴露出所述停止層的所述溝渠開口。
  15. 如申請專利範圍第13項所述的場效電晶體元件的製造方法,其中形成共形的所述阻障層包括原子層沈積製程,且所述阻障層的材料包括氮化矽、氮氧化矽、氮碳化矽或其組合。
  16. 如申請專利範圍第13項所述的場效電晶體元件的製造方法,其中形成共形的所述黏著層包括原子層沈積製程或電漿增強原子層沈積製程,且所述黏著層的材料包括氮化矽、氮氧化矽、氮碳化矽、氮氧化碳矽、氮化鉭、氮化鈦或其組合。
  17. 如申請專利範圍第12項所述的場效電晶體元件的製造方法,其中移除部分的所述阻障層包括移除位於所述第一層間介電層與所述第二層間介電層上的所述阻障層,並保留覆蓋於所述溝渠開口的側壁上殘留的所述阻障層。
  18. 如申請專利範圍第12項所述的場效電晶體元件的製造方法,其中移除部分的所述黏著層以及移除被所述接觸窗暴露出來的所述襯層包括執行至少一非等向性蝕刻製程,以移除位於所述第二光阻圖案上的所述黏著層並蝕刻所述黏著層以及所述襯層以暴露出所述導電區域。
  19. 如申請專利範圍第12項所述的場效電晶體元件的製造方法,其中形成所述金屬內連線包括執行平坦化製程,以移除所述第二層間介電層上方的所述第二光阻圖案以及多餘的所述金屬材料。
  20. 如申請專利範圍第12項所述的場效電晶體元件的製造方法,其中所述金屬材料包括銅、鎢或其合金,且所述金屬材料的形成方式包括化學氣相沈積法、物理氣相沈積法或電化學電鍍法。
TW105135652A 2015-12-15 2016-11-03 場效電晶體元件及其製造方法 TWI681506B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/968,921 2015-12-15
US14/968,921 US9627316B1 (en) 2015-12-15 2015-12-15 Field effect transistor devices having interconnect structures and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW201733002A TW201733002A (zh) 2017-09-16
TWI681506B true TWI681506B (zh) 2020-01-01

Family

ID=58765584

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105135652A TWI681506B (zh) 2015-12-15 2016-11-03 場效電晶體元件及其製造方法

Country Status (3)

Country Link
US (1) US9627316B1 (zh)
CN (1) CN107017298B (zh)
TW (1) TWI681506B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102460075B1 (ko) * 2016-01-27 2022-10-31 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
DE102016114724B4 (de) * 2016-03-25 2021-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen und Vorrichtung
US11018157B2 (en) 2017-09-28 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Local interconnect structure
JP7074968B2 (ja) * 2018-03-19 2022-05-25 東京エレクトロン株式会社 3次元デバイス及びそれを形成する方法
CN110858578B (zh) * 2018-08-23 2021-07-13 联华电子股份有限公司 管芯封环及其制造方法
CN110957264A (zh) * 2018-09-26 2020-04-03 长鑫存储技术有限公司 铜扩散阻挡层的制备方法
CN111524887B (zh) * 2019-02-01 2023-06-02 华邦电子股份有限公司 半导体装置及其制造方法
US11018140B2 (en) * 2019-04-19 2021-05-25 Winbond Electronics Corp. Semiconductor device and method for manufacturing the same
US11282744B2 (en) * 2019-09-30 2022-03-22 Systems On Silicon Manufacturing Co. Pte. Ltd. Enhanced intermetal dielectric adhesion
US11665916B2 (en) * 2020-02-12 2023-05-30 Winbond Electronics Corp. Memory devices and methods for forming the same
CN113571466B (zh) * 2020-04-29 2024-01-26 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080182405A1 (en) * 2007-01-26 2008-07-31 Chung-Shi Liu Self-aligned air-gap in interconnect structures

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US8803245B2 (en) * 2008-06-30 2014-08-12 Mcafee, Inc. Method of forming stacked trench contacts and structures formed thereby
CN102437091B (zh) * 2011-07-22 2013-12-04 上海华力微电子有限公司 采用金属铜合金作为刻蚀阻挡层的铜后道互连工艺
JP5922915B2 (ja) * 2011-12-02 2016-05-24 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN103515300A (zh) * 2012-06-29 2014-01-15 中芯国际集成电路制造(上海)有限公司 金属互连工艺中形成空气间隙的制造方法
US8900989B2 (en) * 2013-03-06 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an air gap using a damascene process and structure of same
US9478636B2 (en) * 2014-05-16 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device including source/drain contact having height below gate stack

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080182405A1 (en) * 2007-01-26 2008-07-31 Chung-Shi Liu Self-aligned air-gap in interconnect structures

Also Published As

Publication number Publication date
TW201733002A (zh) 2017-09-16
CN107017298A (zh) 2017-08-04
US9627316B1 (en) 2017-04-18
CN107017298B (zh) 2021-05-25

Similar Documents

Publication Publication Date Title
TWI681506B (zh) 場效電晶體元件及其製造方法
US9041087B2 (en) Semiconductor devices having dielectric caps on contacts and related fabrication methods
KR102658192B1 (ko) 반도체 장치 및 반도체 장치의 제조 방법
US11127630B2 (en) Contact plug without seam hole and methods of forming the same
KR20190087843A (ko) 반도체 장치
US10861860B2 (en) Method of manufacturing a semiconductor device
KR102344320B1 (ko) 더미 콘택을 갖는 반도체 소자
US8951907B2 (en) Semiconductor devices having through-contacts and related fabrication methods
US10886222B2 (en) Via contact, memory device, and method of forming semiconductor structure
US20160254185A1 (en) Integrated circuits and methods for fabricating integrated circuits with self-aligned vias
KR102024971B1 (ko) 반도체 디바이스 및 그 제조 방법
US9786607B2 (en) Interconnect structure including middle of line (MOL) metal layer local interconnect on ETCH stop layer
US9754817B2 (en) Semiconductor structures having an insulative island structure
US9607884B2 (en) Semiconductor device and method of manufacturing the same
JP2013197533A (ja) 記憶装置及びその製造方法
JP2008205032A (ja) 半導体装置
JP2011044625A (ja) 半導体装置、および半導体装置の製造方法
US11205574B2 (en) Method for forming a semiconductor memory structure
EP3817038A1 (en) A method for producing self-aligned gate and source/drain via connections for contacting a fet transistor
JP2005197700A (ja) 半導体素子の金属パターン形成方法
TWI512894B (zh) 金屬內連線結構及其製程
JP2015133382A (ja) 半導体装置の製造方法
JP2006054397A (ja) 半導体装置及びその製造方法
JP2006147877A (ja) 半導体装置及びその製造方法
KR20080089082A (ko) 반도체 소자의 금속배선 형성방법