JP7074968B2 - 3次元デバイス及びそれを形成する方法 - Google Patents

3次元デバイス及びそれを形成する方法 Download PDF

Info

Publication number
JP7074968B2
JP7074968B2 JP2020547388A JP2020547388A JP7074968B2 JP 7074968 B2 JP7074968 B2 JP 7074968B2 JP 2020547388 A JP2020547388 A JP 2020547388A JP 2020547388 A JP2020547388 A JP 2020547388A JP 7074968 B2 JP7074968 B2 JP 7074968B2
Authority
JP
Japan
Prior art keywords
contacts
drain
contact
source
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020547388A
Other languages
English (en)
Other versions
JP2021524151A (ja
Inventor
スミス,ジェフリー
ジェイ. デヴィリアーズ,アントン
エヌ. カンダバラ,タピリー
グジェスコヴィアク,ジョディ
ユ,カイ-フン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2021524151A publication Critical patent/JP2021524151A/ja
Application granted granted Critical
Publication of JP7074968B2 publication Critical patent/JP7074968B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11859Connectibility characteristics, i.e. diffusion and polysilicon geometries
    • H01L2027/11861Substrate and well contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11859Connectibility characteristics, i.e. diffusion and polysilicon geometries
    • H01L2027/11862Horizontal or vertical grid line density
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11875Wiring region, routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11881Power supply lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Auxiliary Devices For And Details Of Packaging Control (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

関連出願の相互参照
本出願は、2018年3月19日に出願された米国仮特許出願第62/645,102号明細書の利益を主張するものであり、この仮特許出願の内容全体が参照により本明細書に組み込まれる。
本発明は、所与の基板又はウェハ上での材料のパターン形成、堆積及び除去のためのシステム及びプロセスを含む半導体微細加工に関する。
本開示は、集積回路並びに集積回路のためのトランジスタ及びトランジスタ部品などの半導体デバイスを製造する方法に関する。(特に微小スケールでの)半導体デバイスの製造では、様々な製造プロセスが実行され、成膜堆積、エッチングマスク生成、パターン形成、材料のエッチング及び除去並びにドーピング処理などを繰り返し実施して基板上に所望の半導体デバイス素子を形成する。歴史的に、微細加工により、トランジスタは、1つの平面内に作成され、その上に配線/メタライゼーションが形成され、従って、トランジスタは、2次元(2D)回路又は2D製作として特徴付けられている。微細化の取り組みにより、2D回路内の単位面積当たりのトランジスタ数は、大幅に増加したものの、微細化が1桁のナノメートルの半導体デバイス製造ノードに入るにつれて、微細化の取り組みは、より大きい課題に直面している。半導体デバイス製造業者は、トランジスタが互いの上に積層される3次元(3D)半導体デバイスに対する要望を表明してきた。
本開示で公開する技術は、3次元論理デバイス内に多層(階段状)ソース/ドレインコンタクトを生成するための自己整合技術を提供することにより、3D半導体回路の製造を促進する。
本明細書に記載するような様々な製造ステップの順序は、明確にするために提示されるものであることに留意されたい。一般に、これらのステップは、任意の適切な順序で実行できる。加えて、本明細書における様々な特徴、技術、構成などのそれぞれは、本開示の異なる箇所で考察され得るが、それらの概念のそれぞれは、互いに独立して又は互いと組み合わせて実行され得ることが意図されている。従って、本開示は、多くの異なる態様で具現化及び検討することができる。
この要約のセクションは、本開示又は特許請求される本発明の全ての実施形態及び/又は付加的に新規な態様を指定するものではないことが理解されるべきである。代わりに、この要約は、異なる実施形態及び従来の技術に対する新規性の対応する点の予備的な考察のみを提供する。本開示及び実施形態の更なる詳細及び/又は可能性のある観点は、以下で更に考察するように、詳細な説明のセクション及び本開示の対応する図に向けられるべきである。
本開示は、相補型電界効果トランジスタ(CFET)デバイスを組み込んでおり、このCFETデバイスでは、NMOS又はPMOSソース及びドレイン電極/コンタクトの一部又は全部は、CFET設計において互いに垂直に積層される。個々のNMOS及びPMOSソース及びドレイン(S/D)電極(コンタクト)は、互いに対して互い違いになっているか又は階段状であり、それにより、NMOS S/Dコンタクト又はPMOS S/Dコンタクトのいずれかへのアクセスは、BEOL内のルーティングトラック(即ちルーティング金属線)の一部によって可能である。NMOS及びPMOS S/D電極(コンタクト)のそのような互い違いの又は階段状の構成を導入することにより、BEOL内の共通のルーティングトラックへのアクセスをもたらすことができる。従って、上側金属層から接続する任意のビア・トゥ・ドレインは、上側又は下側S/D電極(コンタクト)のいずれかにアクセスすることができる。
本開示は、ビア・トゥ・ドレイン構造と層状のS/Dコンタクト(即ち疲れたNMOS S/Dコンタクト及びPMOS S/Dコンタクト)との間に自己整合接続を生成する方法を含む。この方法は、ビア・トゥ・ドレイン構造が他の層状のS/Dコンタクトのいずれかを意図せず短絡させることを防止する。物理的なセル高さの制限、積層された上側及び下側のS/Dコンタクトの互い違いの性質並びに下側S/Dコンタクトの限られた接触面積のため、BEOL金属線とターゲットS/Dコンタクトとの間を接続するビア・トゥ・ドレイン構造は、隣接する層状のS/Dコンタクトと容易に短絡する可能性がある。本開示は、ビア・トゥ・ソース構造をターゲットS/Dコンタクトに自己整合させるように導く新規の構造を提供する。
本開示では、2つの層状のS/Dコンタクトが例として示される。しかしながら、所与の標準セル内の層状のS/Dコンタクトの数は、3つ以上であり得る。例えば、6T SRAM標準セルの場合、3つの積層されたS/D電極が導入される。本明細書で使用される「標準セル」とは、「従来の」と均等ではなく、所与の論理機能を提供するデバイス及び相互接続の群又はユニットを指すことに留意されたい。従って、本明細書で開示する標準セルは、新規である。
本開示の一態様によれば、半導体デバイスが提供される。半導体デバイスは、複数の第1のソース/ドレイン(S/D)コンタクト及び複数の第1のソース/ドレインを含む。複数の第1のS/Dコンタクトの各々は、それぞれの第1のソース/ドレインの上に形成され、且つ上部部分、底部部分及び側部部分を有するバー形状を有し、それにより、底部部分は、それぞれの第1のソース/ドレインを覆う。この半導体デバイスは、複数の第1のS/Dコンタクトの上に形成される複数の第1の誘電体キャップも含む。複数の第1の誘電体キャップの各々は、それぞれの第1のS/Dコンタクトの上に配置されて、それぞれの第1のS/Dコンタクトの上部部分及び側部部分の少なくとも一部を覆う。
開示するデバイスでは、複数の第2のS/Dコンタクト及び複数の第2のソース/ドレインは、階段状構成を形成するように複数の第1のS/Dコンタクトの上に配置される。複数の第2のS/Dコンタクトの各々は、それぞれの第2のS/Dの上に形成され、且つ上部部分、底部部分及び側部部分を有するバー形状を有し、それにより、底部部分は、それぞれの第2のソース/ドレインを覆う。このデバイスは、複数の第2のS/Dコンタクトの上に形成される複数の第2の誘電体キャップを更に含む。複数の第2の誘電体キャップの各々は、それぞれの第2のS/Dコンタクトの上に配置されて、それぞれの第2のS/Dコンタクトの上部部分及び側部部分の少なくとも一部を覆う。
一部の実施形態で、第1の誘電体キャップは、第1のS/Dコンタクトの側部部分を完全に覆い得る。同様に、第2の誘電体キャップは、第2のS/Dコンタクトの側部部分を完全に覆い得る。
一部の実施形態では、第1の誘電体キャップは、第1のS/Dコンタクトの上部部分上に配置される底部層と、第1のS/Dコンタクトの上部部分及び側部部分を覆うように底部層上に形成される上部層とを含む。一部の実施形態では、第2の誘電体キャップは、第2のS/Dコンタクトの上部部分上に配置される底部層と、第2のS/Dコンタクトの上部部分及び側部部分を覆うように底部層上に形成される上部層とを含む。
この半導体デバイスは、基板に埋め込まれ、且つ階段状構成を形成するように複数の第1のS/Dコンタクトの下に配置される複数のパワーレールを含む。一部の実施形態では、複数の第1のS/Dコンタクトの少なくとも1つは、第1のビア・トゥ・レール構造を通して複数のパワーレールの第1のパワーレールに接続され、及び複数の第2のS/Dコンタクトの少なくとも1つは、第2のビア・トゥ・レール構造を通して複数のパワーレールの第2のパワーレールに接続される。
この半導体デバイスは、複数のパワーレールの上に形成される複数の第3の誘電体キャップも含む。複数の第3の誘電体キャップの各々は、それぞれのパワーレールの上に形成される。従って、第1のビア・トゥ・レール構造は、第3の誘電体キャップを通過し、及び第2のビア・トゥ・レール構造は、第3の誘電体キャップを通過する。
この半導体デバイスは、複数のビア・トゥ・ドレイン構造を更に含む。一部の実施形態では、複数の第1のS/Dコンタクト及び複数の第2のS/Dコンタクトは、階段状であり、それにより、複数のビア・トゥ・ドレイン構造の少なくとも1つは、第1の誘電体キャップを通過して複数の第1のS/Dコンタクトの1つに接続し、及び複数のビア・トゥ・ドレイン構造の少なくとも別の1つは、第2の誘電体キャップを通過して複数の第2のS/Dコンタクトの1つに接続する。この半導体デバイスは、複数の金属線も有する。複数の金属線は、複数のビア・トゥ・ドレイン構造と電気的に結合される。
一部の実施形態では、複数の第1の誘電体キャップの各々は、それぞれの第1のS/Dコンタクトを、隣接する第1のS/Dコンタクト、隣接するビア・トゥ・ドレイン構造、隣接する第1のビア・トゥ・レール構造及び隣接する第2のビア・トゥ・レール構造の少なくとも1つから分離するように構成される。一部の実施形態では、複数の第2の誘電体キャップの各々は、それぞれの第2のS/Dコンタクトを、隣接する第2のS/Dコンタクト、隣接するビア・トゥ・ドレイン構造及び隣接する第2のビア・トゥ・レール構造の少なくとも1つから分離するように構成される。
この半導体デバイスは、パワーレールの長さ方向に沿って形成される第1のチャネル領域と、パワーレールの長さ方向に沿って形成される第2のチャネル領域と、複数のゲート構造とを更に含む。一部の実施形態では、複数のゲート構造は、第1のチャネル領域及び第2のチャネル領域を取り囲む。更に、複数の第1のソース/ドレインは、第1のチャネル構造を取り囲み、及び複数の第2のソース/ドレインは、第2のチャネル構造を取り囲む。複数のゲート構造及び複数の第1のソース/ドレインは、複数の低Kスペーサーによって互いから離間され、且つ交互に配置され、及び複数のゲート構造及び複数の第2のソース/ドレインは、複数の低Kスペーサーによって互いから離間され、且つ交互に配置される。
本開示の別の態様によれば、半導体デバイスを製造するための方法が提供される。開示する方法では、半導体構造が形成される。半導体構造は、第1のチャネル構造、第2のチャネル構造及び基板に埋め込まれている複数のパワーレールを含む。複数のパワーレールは、第1のチャネル構造の下に配置されて階段状構成を形成し、及び第2のチャネル構造は、第1のチャネル構造の上に形成される。第1及び第2のチャネル構造は、複数のパワーレールの長さ方向に沿って延びる。
その後、複数の第1のソース/ドレインは、第1のチャネル構造を取り囲むように形成され、且つ第1の誘電体層内に埋め込まれる。更に、複数の第1のソース/ドレイン(S/D)コンタクトは、この複数の第1のS/Dコンタクトの各々がそれぞれの第1のソース/ドレインの上に形成されるように形成される。複数の第1のS/Dコンタクトの各々は、上部部分、底部部分及び側部部分を有するバー形状を有し、それにより、底部部分は、それぞれの第1のソース/ドレインを覆う。
開示する方法では、複数の第1の誘電体キャップは、複数の第1のS/Dコンタクトの上に形成される。複数の第1の誘電体キャップの各々は、それぞれの第1のS/Dコンタクトの上に配置されて、それぞれの第1のS/Dコンタクトの上部部分及び側部部分の少なくとも一部を覆う。更に、複数の第2のソース/ドレインが形成される。複数の第2のソース/ドレインは、複数の第1のソース/ドレインの上に配置され、第2のチャネル構造を取り囲み、且つ第2の誘電体層内に埋め込まれる。
その後、複数の第2のソース/ドレイン(S/D)コンタクトは、この複数の第2のS/Dコンタクトの各々がそれぞれの第2のソース/ドレインの上に配置されるように形成される。複数の第2のS/Dコンタクトの各々は、上部部分、底部部分及び側部部分を有するバー形状を有し、それにより、底部部分は、それぞれの第2のソース/ドレインを覆う。複数の第2のS/Dコンタクトは、階段状構成を形成するように複数の第1のS/Dコンタクトの上に互い違いに配置される。
更に、複数の第2の誘電体キャップは、複数の第2のS/Dコンタクトの上に形成される。複数の第2の誘電体キャップの各々は、それぞれの第2のS/Dコンタクトの上に配置されて、それぞれの第2のS/Dコンタクトの上部部分及び側部部分の少なくとも一部を覆う。
更に別の実施形態では、半導体デバイスが提供される。半導体デバイスは、基板、基板内に埋め込まれた複数のパワーレール及び複数のパワーレールの上に形成される複数の第1のソース/ドレインを含む。開示するデバイスでは、複数の第1のソース/ドレイン(S/D)コンタクトは、複数の第1のソース/ドレインの上に形成される。複数の第1のS/Dコンタクトの各々は、それぞれの第1のソース/ドレインの上に形成され、且つ上部部分、底部部分及び側部部分を有するバー形状を有し、それにより、底部部分は、それぞれの第1のソース/ドレインを覆う。
この半導体デバイスは、複数の第1のS/Dコンタクトの上に形成される複数の第1の誘電体キャップも含む。複数の第1の誘電体キャップの各々は、それぞれの第1のS/Dコンタクトの上に配置されて、それぞれの第1のS/Dコンタクトを、隣接する第1のS/Dコンタクト、隣接するビア・トゥ・ドレイン構造、隣接する第1のビア・トゥ・レール構造及び隣接する第2のビア・トゥ・レール構造の少なくとも1つから分離するように、それぞれの第1のS/Dコンタクトの上部部分及び側部部分の少なくとも一部を覆う。
開示する半導体デバイスでは、複数の第2のソース/ドレインは、階段状構成を形成するように複数の第1のソース/ドレインの上に形成される。更に、複数の第2のソース/ドレイン(S/D)コンタクトは、複数の第2のソース/ドレインの上に形成される。複数の第2のS/Dコンタクトの各々は、それぞれの第2のソース/ドレインの上に形成され、且つ上部部分、底部部分及び側部部分を有するバー形状を有し、それにより、底部部分は、それぞれの第2のソース/ドレインを覆う。
この半導体デバイスは、複数の第2のS/Dコンタクトの上に形成される複数の第2の誘電体キャップを更に含む。複数の第2の誘電体キャップの各々は、それぞれの第2のS/Dコンタクトの上に配置されて、それぞれの第2のS/Dコンタクトを、隣接する第2のS/Dコンタクト、隣接するビア・トゥ・ドレイン構造及び隣接する第2のビア・トゥ・レール構造の少なくとも1つから分離するように、それぞれの第2のS/Dコンタクトの上部部分及び側部部分の少なくとも一部を覆う。
開示するデバイスでは、複数の第1のS/Dコンタクトの少なくとも1つは、第1のビア・トゥ・レール構造を通して複数のパワーレールの第1のパワーレールに接続され、及び複数の第2のS/Dコンタクトの少なくとも1つは、第2のビア・トゥ・レール構造を通して複数のパワーレールの第2のパワーレールに接続される。
関連する例と比べて、開示する半導体デバイスは、幾つかの利点を得る。第1に、NMOS S/Dコンタクト、PMOS S/Dコンタクト及びパワーレールを階段状構成に互い違いに配置することにより、半導体デバイスの総面積を低減しながら、BOELのルーティングトラック(金属線)へのアクセスを提供することができる。第2に、S/Dコンタクトの側部部分(側面)に誘電体キャップを導入することにより、選択的エッチングの確立を通して、製造中、ターゲットS/Dコンタクト又はターゲットパワーレールの上にビア・トゥ・ドレイン構造又はビア・トゥ・レール構造の自己整合パターンを実現することができ、S/Dコンタクトの側部部分に配置された誘電体キャップは、S/Dコンタクトの位置を定めるために使用される誘電体層に対して異なるエッチング選択性を有し、並びに金属ゲートの上の誘電体キャップ及び低kゲートスペーサーに対してエッチング選択性を有することができる。第3に、S/Dコンタクトの上部部分及び側部部分上に導入された誘電体キャップは、S/Dコンタクトと、隣接するコンタクトとの間、又はS/Dコンタクトと、隣接するビア・トゥ・ドレイン構造との間、又はS/Dコンタクトと、隣接するビア・トゥ・レール構造との間の寄生容量を効果的に減らすことができる。
上記の段落は、全般的な序文として提供されており、添付の特許請求の範囲を限定することを意図されていない。記載する実施形態は、更なる利点と共に、添付図面に関連して解釈される以下の詳細な説明を参照することによって最もよく理解される。
本開示の態様は、添付の図面と共に読まれると、以下の詳細な説明から最もよく理解される。業界の標準的な慣行に従い、様々な特徴が縮尺通りに描かれていないことに留意されたい。実際に、様々な特徴の寸法は、説明を明確にするために任意に拡大又は縮小される場合がある。
幾つかの実施形態による、階段状構成の半導体デバイスの概略図である。 幾つかの実施形態による、階段状構成の半導体デバイスの別の概略図である。 幾つかの実施形態による、3D CFETデバイスの断面図である。 幾つかの実施形態による、3D CFETデバイスの別の断面図である。 幾つかの実施形態による、関連する3D CFETデバイスの断面図である。 幾つかの実施形態による、関連する3D CFETデバイスの拡大断面図である。 幾つかの実施形態による、関連する3D CFETデバイスの別の拡大断面図である。 幾つかの実施形態による、3D CFETデバイスの拡大断面図である。 幾つかの実施形態による、3D CFETデバイスの別の拡大断面図である。 幾つかの実施形態による、3D CFETデバイスの概略回路図である。 幾つかの実施形態による、3D CFETデバイスの概略レイアウト図である。 幾つかの実施形態による、3D CFETデバイスを製造する様々な中間ステップの断面図である。 幾つかの実施形態による、3D CFETデバイスを製造する様々な中間ステップの断面図である。 幾つかの実施形態による、3D CFETデバイスを製造する様々な中間ステップの断面図である。 幾つかの実施形態による、3D CFETデバイスを製造する様々な中間ステップの断面図である。 幾つかの実施形態による、3D CFETデバイスを製造する様々な中間ステップの断面図である。 幾つかの実施形態による、3D CFETデバイスを製造する様々な中間ステップの断面図である。 幾つかの実施形態による、3D CFETデバイスを製造する様々な中間ステップの断面図である。 幾つかの実施形態による、3D CFETデバイスを製造する様々な中間ステップの断面図である。 幾つかの実施形態による、3D CFETデバイスを製造する様々な中間ステップの断面図である。 幾つかの実施形態による、3D CFETデバイスを製造する様々な中間ステップの断面図である。 幾つかの実施形態による、3D CFETデバイスを製造する様々な中間ステップの断面図である。 幾つかの実施形態による、3D CFETデバイスを製造する様々な中間ステップの断面図である。 幾つかの実施形態による、3D CFETデバイスを製造するためのプロセスのフローチャートである。
以下の本開示は、提供される主題の異なる特徴を実装するための多くの異なる実施形態又は実施例を提供する。本開示を単純にするために、構成要素及び配置の特定の例について以下に説明する。当然のことながら、これらは、単なる例に過ぎず、限定することを意図するものではない。加えて、本開示は、様々な例において参照番号及び/又は文字を繰り返し得る。この繰り返しは、単純化及び明確化を目的とするものであり、それ自体、考察された様々な実施形態及び/又は構成間の関係を決定付けるものではない。
更に、本明細書では、「下」、「下方」、「より下」、「上方」、「より上」などの空間的に相対的な用語を、説明を簡単にするために使用して、図に示すような別の要素又は特徴に対する1つの要素又は特徴の関係を説明し得る。空間的に相対的な用語は、図示の向きに加えて、使用中又は動作中の装置の様々な向きを包含することを意図する。装置は、他に方向付けられ(90度又は他の方向に回転され)、本明細書で使用される空間的に相対的な記述子もそれに応じて解釈され得る。
本明細書の全体を通して「一実施形態」又は「実施形態」に言及することは、その実施形態に関して記載する特定の特徴、構造、材料又は特性が少なくとも1つの実施形態に含まれることを意味するが、それらが全ての実施形態にあることを示さない。従って、本明細書の様々な箇所で「一実施形態では」という語句が登場することは、必ずしも同じ実施形態に言及しているわけではない。更に、特定の特徴、構造、材料又は特性は、1つ以上の実施形態において任意の適切な様式で組み合わされ得る。
本開示は、相補型FET(電界効果トランジスタ)デバイスなどの3Dデバイス内の回路設計及び対応する製造方法を含む。相補型FETデバイス(CFET)は、3次元的に積層された論理標準セルであり、このセルでは、NMOS又はPMOSのいずれかがその補完物の上に配置される。そのような構造により、論理セルをそれ自体の中に「折り重ねる」ことにより、論理標準セルの面積縮小及びルーティング混雑の改善が可能になる。
相補型FETは、NMOS S/D、PMOS S/D及びゲートを横方向の構成から積層型の構成に分布させることにより、面積縮小の利点をもたらす。相補型FET論理標準セルの別の可能な利点は、共通のルーティング線からの下側の又は上側のS/Dコンタクトのいずれかへのアクセスを可能にするために、S/Dコンタクトを互い違いに又は階段状に配置できることである。そのような階段状構成は、nFETからpFETへの交差を生成するために必要になる追加のメタライゼーションに取って代わるものであり、なぜなら、階段状構成は、デバイス内に実装できるからである。
図1A及び図1Bは、階段状構成の半導体デバイスの概略図である。図1Aは、CFETデバイス内の下側及び上側の電極(又はS/Dコンタクト)の両方と、金属層(即ちM0)との階段状接続を表すことができる。図1Bは、CFETデバイス内の下側及び上側の電極(又はS/Dコンタクト)の両方と、金属層(即ちM0)との階段状接続の別の表現を提供する。図1A及び図1Bの実施形態では、下側電極は、NMOSソース/ドレインの上に形成されるNMOS S/Dコンタクトであり得、上部電極は、PMOSソース/ドレイン上に配置されるPMOS S/Dコンタクトであり得る。CFETデバイスの利点の1つは、CFETデバイス内でコンタクトが互いに対して互い違いに配置される態様に基づいて、任意の外側の金属トラック(即ち素子A、B又はC)がNMOS又はPMOS S/Dコンタクトのいずれかと接触できることである。内部ビア・トゥ・ドレイン構造(即ちVD(下側)又はVD(上側))の各々は、それぞれのターゲットS/Dコンタクトのみへ接続することが好ましく、何らかのパターンの誤配置は、VD(下側)が上側のS/D電極と接触することにつながり得る。
上側及び下側のS/Dドレインコンタクト(又は電極)の互い違いの性質により、CFETデバイスの内部ルーティング性質が可能になり、なぜなら、金属ルーティング線(即ち図1ではM0)は、NMOS又はPMOS S/Dコンタクトのいずれかに接続できるからである。CFETデバイスと比較すると、FINFETデバイスなどの関連例では、標準セル内にNMOSとPMOSを接続するために追加の金属層が必要になり得る。従って、上側及び下側のS/Dドレインコンタクトの互い違いの性質により、金属の密集が更に低減される。
しかしながら、S/Dコンタクトの互い違いの構成は、金属ルーティングトラック(又はルーティング線)と上側及び下側のS/Dコンタクトとの間に正確なビア・トゥ・ドレイン(VD)接続を生成するという課題をもたらす。金属ルーティングトラックと下側S/Dコンタクトとの間の位置合わせ不良により、上側S/Dコンタクトと意図せず接続し得、それにより、設計通りにNMOS S/Dに接続する代わりにPMOS S/Dに接続し得る。部分的な位置合わせ不良であっても、著しい副次的影響が出ることがあり得る。例えば、図1に示すように、図1のVD(上側)などのビア・トゥ・ドレイン構造が上側S/D電極に接続するように設計されていても、このビア・トゥ・ドレイン構造が上側S/D電極と部分的にのみ接触していると、上側コンタクトの側壁に沿って更に下に進み、下側S/D電極と別の部分的な接触を形成する。その結果、そのような位置合わせ不良により、CFETデバイス内にインバーターが形成されることになる。
3次元CFETデバイスは、NMOS及びPMOSの活性領域の垂直積層によるサイズ低減という利点だけでなく、垂直積層構成を通して実現することができるルーティング上の利点も得る。ルーティング上の利点により、対応するデバイスのルーティングトラック(金属線)の著しい削減をもたらすことができる。更に、開示する3D CFETデバイスでは、埋め込み型パワーレール構造が提供され、複数のパワーレール(即ち図1のVSS及びVDD)が基板内に埋め込まれ、NMOS及びPMOS活性領域の下に配置される(パワーレールを埋める)。埋め込み型パワーレール構造は、更なる利点をもたらすことができる。従来の微細加工技術によれば、パワーレールは、NMOS及びPMOS活性領域の上に配置され、BEOL(配線工程)内の著しい空間を占有し得る。パワーレールがCFETデバイスのBEOL内に配置されると、エラーの可能性が高くなることが予期される。そのような構成は、主に3トラック又は4トラックのセル高さを有し、これは、ルーティングトラックと、意図する(又はターゲットとする)S/D電極との間に接続を形成する際の適切な位置合わせのために非常に僅かなマージンのみがあることを意味する。
例えば、BEOLルーティングトラックを12nmのハーフピッチ前後に縮小することができる、所与のファウンドリーN5デバイス(即ち5nm論理デバイス)の場合、電気的短絡を防止するために、ビア・トゥ・ドレイン構造と、隣接するS/Dコンタクトとの間及び隣接する標準セルからのS/Dコンタクト間に最小の間隔が必要である。最小の距離は、電気的制約によって決定され得、同様に数ナノメートルほどに小さくすることができる。N5デバイスのそのような寸法を使用することは、BEOL内の金属ルーティング線(即ち図1のM0)とS/Dコンタクト(即ち図1の上側電極、下側電極)の1つとの間の接触を形成するように意図された12nmのビア・トゥ・ドレイン構造の場合、それらのS/DコンタクトがBEOLへの最大の可能なアクセスポイントを形成しているのであれば、3nm未満の縁部配置許容誤差が必要であることを意味する。オーバーレイ制御、CD均一性、ピッチウォーキング(BEOLルーティングトラックが何らかの複数のパターニングプロセスによって定義される場合)など、現在のリソグラフィプロセスのプロセスばらつきを考慮に入れた場合、そのような3nmの許容誤差は、ウェハ全体にわたって必要とされる数十億個の接続にわたって物理的に可能ではない。結果として、誤ったS/Dコンタクトに接続するビア・トゥ・ドレイン構造(即ち図1のVD(上側)若しくはVD(下側))又は複数の層状のS/Dコンタクトと意図せず接触するビア・トゥ・ドレイン構造のいずれかによって引き起こされる、デバイス製造の観点からの著しい歩留まりの低下が起こり得る。
従って、本明細書で特定するように、正しいソース及びドレインコンタクトへBEOL金属ルーティングトラックを自己整合させる方法は、デバイスの作製を成功させるために必要である。この自己整合の方法には、ビア・トゥ・ドレイン構造を下方の正しい層状のS/Dコンタクトまで導く機能並びにS/Dコンタクトと金属ゲートキャップとの間及びS/Dコンタクトと低kゲートスペーサーとの間の追加の自己整合を提供する機能が含まれる。そのような課題を解決することに加えて、本開示は、自己整合統合フローの要素を組み込むことができる。そのようなフローは、ビア・トゥ・ドレイン構造と、隣接するS/Dコンタクトとの間又はビア・トゥ・ドレイン構造と、隣接するセルとの間に固定の又は控えめな距離を提供することができる。
図2A及び図2Bは、3D CFETデバイス100の断面図である。図2Aに示すように、デバイス100は、複数の底部ソース/ドレイン102a~102c及び複数の上部ソース/ドレイン104a~104cを含むことができる。底部ソース/ドレイン102及び上部ソース/ドレイン104は、プリメタル誘電体(PMD)層132内に配置され、上部ソース/ドレイン104は、底部ソース/ドレイン102の上に互い違いに配置される。一実施形態では、底部ソース/ドレインは、NMOSソース/ドレインであり得、上部ソース/ドレインは、PMOSソース/ドレインであり得る。別の実施形態では、回路設計に基づいて、底部ソース/ドレインは、PMOSソース/ドレインであり得、上部ソース/ドレインは、NMOSソース/ドレインであり得る。デバイス100は、複数の底部S/Dコンタクト106a~106c及び複数の上部S/Dコンタクト108a~108cを含むことができる。複数の上部S/Dコンタクトは、階段状構成を形成するように複数の底部S/Dコンタクトの上に互い違いに配置される。上部S/Dコンタクト及び底部S/Dコンタクトは、タングステン、コバルト、銅、ルテニウム等から作製され得る。底部S/Dコンタクト106の各々は、それぞれの底部ソース/ドレイン102の上に形成され、且つ上部部分、底部部分及び側部部分(側面)を有することができ、それにより、底部部分は、それぞれの底部ソース/ドレイン102を覆う。例えば、図2Aに示すように、底部S/Dコンタクト106aは、底部S/D102aの上に形成され、及び底部S/Dコンタクト106aの底部部分は、底部S/D102aを覆う。同様に、上部S/Dコンタクト108の各々は、それぞれの上部ソース/ドレイン104の上に形成され、且つ上部部分、底部部分及び側部部分(側面)を有することができ、それにより、底部部分は、それぞれの上部ソース/ドレインを覆う。
デバイス100は、複数の底部S/Dコンタクト106a~106cの上に形成される複数の底部誘電体キャップ110a~110cを含むことができる。底部誘電体キャップの各々は、それぞれの底部S/Dコンタクトの上に配置されて、それぞれの底部S/Dコンタクトの上部部分及び側部部分の少なくとも一部を覆う。例えば、図2Aに示すように、底部誘電体キャップ110aは、底部S/Dコンタクト106aの上に配置される。底部誘電体キャップ110aは、底部S/Dコンタクト106aの上部部分及び側部部分の少なくとも一部を覆う。デバイス100は、複数の上部S/Dコンタクト108a~108cの上に形成される複数の上部誘電体キャップ112a~112cも含むことができる。上部誘電体キャップの各々は、それぞれの上部S/Dコンタクトの上に配置されて、それぞれの上部S/Dコンタクトの上部部分及び側部部分の少なくとも一部を覆うことができる。例えば、図2Aに示すように、上部誘電体キャップ112aは、上部S/Dコンタクト108aの上に配置される。上部誘電体キャップ112aは、上部S/Dコンタクト108aの上部部分及び側部部分の一部を覆う。
デバイス100は、複数の底部S/Dコンタクトの下に形成され、且つ基板101内に埋め込まれた複数のパワーレール114a~114dも含む。パワーレール114及び底部ソース/ドレイン102は、階段状構成で互い違いに配置することもできる。パワーレールは、図1A~図1Bに示したVDD又はVSSであり得る。更に、複数のビア・トゥ・レール構造をデバイス100内に含めることができる。例えば、2つのビア・トゥ・レール構造116a~116bが図2Aに示されている。ビア・トゥ・レール構造は、上部又は底部S/Dコンタクトとパワーレールとを接続するように構成される。例えば、図2Aに示すように、ビア・トゥ・レール構造116aは、上部S/Dコンタクト108aとパワーレール114bとを接続する。ビア・トゥ・レール構造116bは、底部S/Dコンタクト106bとパワーレール114cとを接続する。デバイス100は、パワーレール114の上に形成される複数のレール誘電体キャップ120a~120cを含むことができる。図2Aに示すように、レール誘電体キャップの各々は、それぞれのパワーレールの上に配置され得る。例えば、レール誘電体キャップ120bは、パワーレール114bの上に形成される。更に、図2Aに示すように、ビア・トゥ・レール構造は、レール誘電体キャップを通過してパワーレールに接続することができる。
デバイス100は、複数のビア・トゥ・ドレイン構造118a~118cを含むことができる。ビア・トゥ・ドレイン構造は、底部又は上部S/Dコンタクトと初期金属層(即ち図1A及び図1BのM0層)122a~122cとを接続するように構成される。例えば、ビア・トゥ・ドレイン構造118aは、底部S/Dコンタクト106aと初期金属層122aとを接続し、及びビア・トゥ・ドレイン構造118bは、上部S/Dコンタクト108bと初期金属層122bとを接続する。ビア・トゥ・ドレイン構造は、底部又は上部誘電体キャップを通過して底部又は上部S/Dコンタクトにそれぞれ接続できることに留意されたい。例えば、ビア・トゥ・ドレイン構造118aは、底部誘電体キャップ110aを通過して底部S/Dコンタクト106aに接続することができる。
図2Aに示すように、3D相補型FETデバイス100(CFETとも呼ばれる)のための、電極とも呼ばれる層状のS/Dコンタクト106及び108は、従来のFINFETデバイスのS/Dコンタクトと異なるバー形状の構造を有することができる。従来のFINFETデバイスのS/Dコンタクトは、従来の単一点のビアである。バー形状のS/Dコンタクトを使用すると、横方向に積層できるために有利である。互いに横方向に積層されたNMOS及びPMOS S/Dコンタクトに基づいて、S/Dコンタクトは、金属トラック線(即ち122a~122c)からプルアップ若しくはプルダウンすることができるか、又はパワーレール(即ち114b若しくは114C)に接続することができる。本明細書でのCFETデバイス(又は他の3Dデバイス)内のパワーレールは、BEOL内に配置する(従来のFINFET標準セル設計などと共に)ことができるか、又はパワーレールは、デバイスのバルクシリコン内に埋め込むことができ、それにより、トラック高さ低減縮小を通した大幅な面積縮小という利点をもたらすことができる。本明細書での所与の金属S/Dコンタクトは、対応する標準セル設計に更なる柔軟性をもたせるために、可能な限り多くの金属ルーティングトラックへの接続を提供することができる。本明細書での互い違いの構成により、層状になったコンタクトは、互いに対して複数の向きで互い違いになって、最も底部のS/D金属コンタクトに最大2ピンまでの接続を提供することができる。上述のように、本明細書で使用される「標準セル」とは、「従来の」と均等ではなく、所与の論理機能を提供するデバイス及び相互接続の群又はユニットを指す。従って、本明細書で開示する標準セルは、新規である。
引き続き図2Aを参照すると、NMOS及びPMOS S/Dコンタクトは、互いに対して互い違いになっているか又は階段状であり、それにより、NMOS S/Dコンタクト又はPMOS S/Dコンタクトのいずれかへのアクセスは、BEOL内のルーティングトラック(即ちルーティング金属線)の一部によって可能である。NMOS及びPMOS S/D電極/コンタクトのそのような互い違いの又は階段状の構成を導入することにより、BEOL内の共通のルーティングトラックへのアクセスをもたらすことができる。従って、上側金属層から接続する任意のビア・トゥ・ドレインは、上側又は下側S/D電極/コンタクトのいずれかにアクセスすることができる。
開示するデバイス100では、S/Dコンタクトの側部部分上に形成された誘電体キャップにより、S/Dコンタクトが、隣接する構成要素を短絡させることを効果的に防止することができる。例えば、底部S/Dコンタクト106aの側部部分上に形成された底部誘電体キャップ110aは、底部S/Dコンタクト106aを隣接するビア・トゥ・レール構造116aから分離することができる。同様に、上部S/Dコンタクト108aの側部部分上に形成された上部誘電体キャップ112aは、上部S/Dコンタクト108aが、隣接するビア・トゥ・ドレイン構造118a又は隣接する上部S/Dコンタクト108bを短絡させることを防止することができる。
S/Dコンタクトの上部部分及び側部部分上に形成された誘電体キャップは、S/Dコンタクトと、隣接するコンタクトとの間、又はS/Dコンタクトと、隣接するビア・トゥ・ドレイン構造との間、又はS/Dコンタクトと、隣接するビア・トゥ・レール構造との間の寄生容量を更に効果的に減らすことができる。
図2Bは、異なる断面位置からのデバイス100の別の断面図を示す。図2Bに示すように、デバイス100は、底部チャネル領域124を含むことができる。底部チャネル領域124は、2つのナノシート124a及び124bを更に含むことができる。底部チャネル領域124は、パワーレール114の長さ方向に沿って形成され、複数の低kゲートスペーサー128を通して突き出ることができる。デバイス100は、上部チャネル領域126も有することができる。上部チャネル領域126は、2つのナノシート126a及び126bを含むことができる。一実施形態では、底部チャネル領域は、NMOSチャネル領域であり得、上部チャネル領域は、PMOSチャネル領域であり得る。別の実施形態では、回路設計に従い、底部チャネル領域は、PMOSチャネル領域であり得、上部チャネル領域は、NMOSチャネル領域であり得る。一部の実施形態では、底部及び上部チャネル領域は、ナノワイヤ、ナノシート又はナノリングを含み得る。
引き続き図2Bを参照すると、デバイス100は、複数のゲート構造130を有することができる。図2Bに示すように、複数のゲート構造130は、底部チャネル領域124及び上部チャネル領域126を取り囲むことができる。複数のゲート誘電体キャップ131が複数のゲート構造130の上に形成され、且つ2つの低kゲートスペーサー128間に配置され得る。図2Bでは、複数のS/D領域は、低kゲートスペーサー128間に形成され得る。S/D領域は、底部及び上部ソース/ドレイン102及び104を含み得る。S/D領域及びゲート構造130は、交互に配置され、且つ低kゲートスペーサー128によって互いから離間される。更に、S/D領域では、複数の底部ソース/ドレイン102aが底部チャネル領域124を取り囲み、複数の上部ソース/ドレイン104aが上部チャネル領域126を取り囲む。
図2Aに示すように、複数のゲート構造130及び複数の底部ソース/ドレイン102aは、複数の低kゲートスペーサー128によって互いから離間され、且つ交互に配置される。同様に、複数のゲート構造130及び複数の上部ソース/ドレイン104aは、複数の低kゲートスペーサー128によって互いから離間され、且つ交互に配置される。
本開示では、自己整合は、エッチング選択性と、層状のS/Dコンタクトの各々の上に堆積されたフィルム又はキャップを含むフィルムマトリックスとを通して実現することができ、積層されたS/Dコンタクトの上に配置された誘電体キャップ(即ち図2Aの110及び112)は、これらのS/Dコンタクトの位置を定めるのに使用されるプリメタル誘電体(即ち132)に対して異なるエッチング選択性を有し、並びに金属ゲート(即ちゲート構造130)の上のゲート誘電体キャップ(即ち131)及び低kゲートスペーサー(即ち128)に対して異なるエッチング選択性を有し得る。更に、エッチング選択キャップ(即ち134)を使用して、底部及び上部ソース/ドレイン並びに底部及び上部S/Dコンタクトを含むS/D領域、金属ゲートを覆っているゲート誘電体キャップ並びに低kゲートスペーサー間に初期エッチング選択性を提供して、初期contact-over-active-gate(COAG)自己整合ストラテジーを可能にすることができる。
本開示では、S/Dコンタクトの側部部分に沿って誘電体キャップを選択的に堆積させるために、S/D領域内のプリメタル誘電体(即ち132)をS/Dコンタクトのメタライゼーション後にくぼませて、S/Dコンタクトの側部部分を露出させることができ、その後、誘電体キャップをS/Dコンタクトの垂直な側面(側部部分)に沿って堆積させることができる。S/Dコンタクトの側部部分に沿って形成された誘電体キャップは、自己整合のための更なる手段を提供することができ、S/Dコンタクトと、隣接するビア・トゥ・ドレイン構造との間に適切な誘電体の離隔を提供することができる。
S/Dコンタクトの横方向の面(側部部分)に沿った誘電体の選択的な堆積により、プリメタル誘電体(PMD)層132内に固定のチャネルを提供することができ、これは、S/D領域内の任意のビア・トゥ・ドレイン構造又はビア・トゥ・レール構造のパターン転写を導くことができる。S/Dコンタクトの横方向の面に沿った誘電体キャップの選択的な堆積により、選択的堆積/原子層堆積を通して、原子スケールの精度で固定の距離を提供することもできる。そのような距離により、ビア・トゥ・ドレイン構造若しくはビア・トゥ・レール構造が、隣接するS/Dコンタクトに意図せず近接することに関連した寄生容量又は電気的短絡を効果的に低減することができる。
一部の実施形態では、底部及び上部誘電体キャップは、S/Dコンタクトの上部部分上に配置される第1の層と、S/Dコンタクトの上部部分及び側部部分を覆うように第1の層の上に形成される第2の層とを含み得る。第1の層は、AlOなどの金属酸化物材料から作製され得る。第1の層は、SiO、SiC、SiN、SiOC、SICN、SiOCN、有機系材料等からも作製され得る。第1の層は、更に、タングステン、ルテニウム、コバルト、銅、金属含有ライナー材料(TaN、TiN、Ta及びTiなど)から作製される金属フィルムであり得る。第2の層は、SiO、SiC、SiN、SiOC、SICN、SiOCN、有機系材料等を含み得る。
本開示では、更なる自己整合を、エッチング選択キャップ(即ち134)、金属ゲートのゲート誘電体キャップ(即ち131)、低kゲートスペーサー(即ち128)及び積層されたS/Dコンタクトが配置される全般的なS/D領域で使用される誘電体材料(即ちPMD132)に基づいて実現することもできる。この更なる自己整合は、ナノファブリケーションを支援し、それにより、任意のビア・トゥ・ドレイン構造は、ゲート構造130に意図せず接触する代わりに、意図した(ターゲットとする)S/Dコンタクトのみと接触することができ、そうでなければ、ビア・トゥ・ドレイン構造のパターンがマスクパターンからS/D領域内のPMD132に転写される際及び/又はS/Dコンタクトの上の誘電体キャップのいずれかがナノファブリケーション中にビア・トゥ・ドレイン構造と接続するように開かれる際、低kゲートスペーサー128に何らかの損傷を引き起こし得る。
ソース及びドレインコンタクトの上に誘電体キャップを形成するために使用されるキャップ材料は、全てのS/Dコンタクトにわたって同じ材料であり得るか、又はより良好な自己整合をもたらすために、意図的に、異なるエッチング選択性を有する異なる誘電体材料のものであり得る。例えば、上述のように、誘電体キャップは、第1の層及び第2の層を含み得る。第1及び第2の層は、同じ材料から作製され得るか、又は異なる材料から作製され得る。誘電体キャップを任意選択的に使用して、金属ゲート(ゲート構造)の上のゲート誘電体キャップ/低kゲートスペーサーとS/Dコンタクトとの間の何らかの初期自己整合を提供する場合、材料の選択は、S/Dコンタクトの上に使用される誘電体キャップと類似のものであるか、又はナノファブリケーションの自己整合能力を更に高めるために異なり得る。同様の材料選択の選択肢をエッチング選択キャップ(即ち134)に当てはめて、全般的な自己整合を高めることができる。
S/Dコンタクトの上の誘電体キャップは、複数の方法を通して形成することができる。一例では、選択的堆積を適用して、S/Dコンタクトの露出した上面(上部部分)上に直接的に誘電体キャップを堆積させることができる。例えば、東京エレクトロンは、銅、タングステン、コバルト、ルテニウム及びそれらの合金などの導電性材料の表面上に直接的に誘電体フィルムを選択的に堆積させるためのプロセスを把握している。別の例では、S/Dコンタクトの真上に配置される誘電体キャップは、底部充填プロセスに基づいて形成され得、このプロセスでは、リソグラフィ/エッチングプロセスの組み合わせによって形成された、開いているコンタクトトレンチ/ホール内における誘電体材料の堆積物は、コンタクトトレンチ/ホールの側壁に沿ってよりコンフォーマルな堆積物を有するが、コンタクトトレンチ/ホールの底部においてはるかに多くの充填物を有する。誘電体の底部充填後、コンタクトトレンチ/ホールの側壁に沿ったコンフォーマルな堆積物は、エッチングプロセスによって等方的に除去することができる。更に別の例では、誘電体キャップ材料でコンタクトトレンチ/ホール内を完全に充填することができ、その後、等方性又は異方性のエッチングプロセスを適用してキャップを所望の厚さまで後退させることができる。
一部の実施形態では、S/Dコンタクトの上に堆積された誘電体キャップは、覆い被さるS/D金属コンタクト間の定量的な誘電体分離としても機能することができる。そのようなプロセスは、上側S/Dコンタクトのトレンチパターンを形成する間、エッチング停止ポイントとして機能するように底部誘電体キャップを使用することを含み、従って互い違いのコンタクト間に定量化可能な分離マージンを確保することができる。
図3は、関連する3D CFETデバイス200の断面図である。3D CFETデバイス100と比較すると、CFETデバイス200内に形成される誘電体キャップ210及び212は、ソース/ドレインコンタクト206及び208の上部部分のみを覆う。
上述のように、CFETデバイス200の主な利点は、面積縮小の可能性である。FINFETデバイスでは、FINFETデバイス内の別々の場所に2つの活性領域が存在するように強制される。しかしながら、CFETデバイス200では、相補的な積層により、2つの活性領域(即ち底部S/D202及び上部S/D204)を互いに積層することができる。第2の利点は、CFETデバイス200のチャネル領域がナノワイヤ、ナノシート又はナノリングによって画定されるため、チップ・オーバー・チップ・スケーリングが可能であるということである。従って、チップ・オーバー・チップ・スケーリングは、著しく増加したスケーリングパスを提供する。第3の利点は、S/Dコンタクト(即ち206及び208)を互い違いに配置することができ、それにより、S/DコンタクトがBEOL内の複数のルーティングトラックに接続することができ、それにより、従来のファウンドリーN7タイプのデバイスで使用される最大で6つのルーティングトラックを組み込むことから、3つ又は4つのルーティングトラック程の少なさにまで減らし、デバイスを小さくすることが可能になることである。
トラック高さに基づく面積縮小に関連した課題の1つは、CFETデバイス200のソース及びドレイン領域内の空間の混雑である。デバイス100と同様に、S/D領域は、低kゲートスペーサー間に配置される。単一のNMOS及びPMOS領域のみが互いに垂直に積層される単純なCFETデバイスの場合でも、Vdd/VssパワーレールをそれぞれNMOS S/Dコンタクト及びPMOS S/Dコンタクトに接続するために、且つNMOS及びPMOS S/DコンタクトをBEOL内の相互接続金属層に接続するために、複数の接続が依然として必要になり得る。接続の経路及びS/Dコンタクトの寸法は、重要であり、且つこの経路及び寸法が望ましくない寄生容量を課さないように縮小する必要がある。更に、経路及び寸法は、デバイス内で過剰な抵抗を引き起こさないように十分に小さくする必要があり、また本明細書のCFETデバイスによって提供されるセル高さの利点を達成するために互いから最小の距離だけ離れている。
図4A~図4Bは、S/D領域内のCFETデバイス200の拡大断面図である。図4A及び図4Bは、2つの異なるS/D領域から得られる2つの断面を提供する。これらの断面は、CFETデバイス200の3つの横に並んだCFET標準セルを含む。図4A及び図4B内の各ラベルは、CFETデバイス200内の2つの構成要素間の様々な配置離隔距離に対応する。例えば、図4Aに示すように、Pは、ビア・トゥ・ドレイン構造と、隣接するビア・トゥ・ドレイン構造などの隣接する標準セルとの間の離隔距離である。Qは、上側層状S/Dコンタクト(即ちPMOS S/Dコンタクト)と、隣接する標準セル内の下側層状S/Dコンタクト(即ちNMOS S/Dコンタクト)に接続するビア・トゥ・ドレイン構造との間の離隔距離である。Rは、2つの隣接する標準セル内の2つの下側層状S/Dコンタクトの離隔距離である。Sは、上側及び下側の層状S/Dコンタクト間の配置である。図4Bでは、Tは、下側層状S/Dコンタクトと、上側S/Dコンタクトとターゲットの電源レールとを接続するビア・トゥ・レール構造などの内部「タップ」ビアとの間の離隔距離であり得る。離隔距離Tは、同じ標準セル内又は2つの隣接する標準セル間に形成され得る。Uは、2つの隣接する標準セル間の2つの上側層状S/Dコンタクト間の離隔距離である。
引き続き図4A~図4Bを参照すると、任意の2つの構成要素間の誤配置は、CFETデバイス200内に意図しない接続を引き起こし得る。従って、ビア・トゥ・ドレイン構造とS/Dコンタクトとの間又はビア・トゥ・レール構造とパワーレールとの間の自己整合を提供する解決策が必要である。自己整合により、ビア・トゥ・ドレイン構造又はビア・トゥ・レール構造が、ターゲットではない構成要素と接続することを防止することができる。
図5A~図5Bは、S/D領域内のデバイス100の拡大断面図である。図5A~図5Bは、図4A~図4Bと同様の断面を示すが、S/Dコンタクトの上面上にのみエッチング選択誘電体キャップを配置する代わりに、プリメタル誘電体(PMD)層(即ち図2Aの132)を、層状のS/Dコンタクトが金属化された後に僅かにくぼませて、S/Dコンタクトの横方向の面を露出させる。次いで、選択的堆積プロセスを使用して、S/Dコンタクトの上部及び側壁の両方に沿って誘電体キャップを形成することができる。図5A~図5Bの構造は、CFETデバイス100又は他の3Dデバイスに自己整合機能を提供する。
図5A~図5Bは、ビア・トゥ・ドレイン構造又はビア・トゥ・レール構造が、ターゲットではない構成要素と接続することを防止することができる自己整合戦略を示す。第1に、S/Dコンタクトの上に形成される誘電体キャップは、PMDなどの隣接する誘電体フィルムと異なるフィルム組成及びエッチング選択性を含むことができる。異なるフィルム組成及びエッチング選択性は、デバイス100のナノファブリケーション中、上側(上部)又は下側(底部)S/Dコンタクトのいずれかを開くのに役立ち得る。第2に、S/Dコンタクトの側部部分を覆う誘電体キャップを導入することにより、別の自己整合機能を実現することができる。上述のように、S/Dコンタクトの側部部分を覆う誘電体キャップは、S/D金属コンタクトのメタライゼーション後、S/D領域内のプリメタル誘電体(PMD)層をくぼませることにより実装することができる。S/D領域内でのPMD層のくぼみにより、バー形状を有することができるS/Dコンタクトの側部部分を露出させることができる。その後、誘電体キャップをS/Dコンタクトの側部部分に沿って選択的に堆積させることができる。図5A~図5Bに示すように、S/Dコンタクトの側部部分に沿って形成された誘電体キャップは、「チャネル」を効果的に形成することができ、このチャネルでは、任意の接触していないS/Dコンタクトとの望ましくない接続を引き起こすことなく、PMD層内にビア・トゥ・ドレイン構造又は内部ビア・トゥ・レール構造を形成することができる。更に、形成されたチャネルは、ビア・トゥ・ドレイン構造又はビア・トゥ・レール構造が、隣接するS/Dコンタクトに接続することを防止するための固定の距離を提供し、次いで、これは、デバイス100内の寄生制御のためのマージンを提供する。
図6Aは、デバイス100の概略回路図であり得る。図6Bは、デバイス100の対応する概略レイアウト図であり得る。図6Aは、アンド・オア・インバーター(AOI)回路300を開示する。回路300は、3つのPMOSトランジスタP1~P3及び3つのNMOSトランジスタN1~N3を含むことができる。図6Aに示すように、P1のソース領域及びP2のソース領域は、共通のVdd電圧に接続され、N3のソース領域は、Vss電圧に接続されている。動作中、第1の入力電圧A1がP1及びN2に印加され、第2の入力電圧A1がP2及びN1に印加される。第3のゲート電圧BがP3及びN3に印加される。回路300におけるZNは、出力電圧であり得る。図6Bは、回路300の対応するレイアウト図であり、610~616は、PMOS S/Dコンタクトに対応し、618~624は、NMOS S/Dコンタクトに対応し、626~630は、3つの入力信号が印加されるゲート構造に対応する。Vss及びVddパワーレールは、バルクシリコン中に埋め込むことができるため、デバイス100は、3トラックセル高さとして機能することができる。図6A及び図6Bは、デバイス100の例示的な回路構造に過ぎないことに留意されたい。デバイス100は、アプリケーション要件に基づいて、他の適切な回路構造を含み得る。
図7~図18は、3D CFETデバイス100を製造する様々な中間ステップの断面図である。簡単にするために、デバイス100は、4トラックセル高さとして示すことができ、S/Dコンタクトと、ビア・トゥ・ドレイン構造と、ビア・トゥ・レール構造との間の全ての重要な離隔距離は、4トラックセル高さに基づいている。更に、底部チャネル領域は、3つの横並びのナノシート又はナノワイヤを含むことができる。また、上部チャネル領域も3つの横並びのナノシート又はナノワイヤを含むことができる。しかしながら、底部及び上部チャネル領域は、回路設計に基づいて、任意の数のナノシート又はナノワイヤを含むことができる。
図7~図18は、CFETデバイス100を製造する例示的なプロセスフローを説明するに過ぎないことに留意されたい。この例示的なフローには、ビア・トゥ・ドレイン構造を通してBEOLルーティングトラックと層状のS/Dコンタクトとを接続する自己整合機能を組み込んだデバイス100を製造する方法が含まれる。開示するプロセスフローでは、金属上誘電体選択堆積プロセスは、任意の特定の特徴部を封入するのに使用できる横方向に面した及び上部に面したスペーサー(又はキャップ)を設けることにより、実質的に任意の3次元デバイスの自己整合を可能にすることができる。本明細書の技術によって包含され且つ有効にされる多数の変形形態及び他の3Dデバイスがあることに留意されたい。
図7Aに示すように、半導体構造700を形成することができる。半導体構造700は、複数の底部チャネル領域124、125及び127を含むことができる。底部チャネル構造の各々は、3つのナノシートを更に含むことができる。半導体構造700は、底部チャネル構造の上に形成される複数の上部チャネル領域126、136及び138も含み、これらの上部チャネル構造の各々は、3つのナノシートを含む。図7Aの実施形態では、底部チャネル構造は、NMOSチャネル構造であり得、上部チャネル構造は、PMOSチャネル構造である。NMOSチャネル構造及びPMOSチャネル構造の両方は、Si、SiGe又はGeから作製することができる。半導体構造700は、複数の置換ゲート140を含む。複数の低kゲートスペーサー128が置換ゲート140の側壁に沿って形成される。置換ゲートは、将来的なステップにおいて、高k/金属ゲートによって置き換えることができる。
引き続き図7Aを参照すると、NMOS及びPMOSチャネル構造は、低kゲートスペーサー128及び置換ゲート140を通して突き出ることができる。半導体構造700は、基板101に埋め込まれた複数のパワーレール114a~114dを更に含む。複数のパワーレールをNMOSチャネル構造の下に配置して、NMOSチャネル構造とパワーレールとの間に階段状構成を形成することができる。パワーレールをVdd又はVss入力電圧と電気的に結合することができる。NMOS及びPMOSチャネル構造は、パワーレールの長さ方向に沿って延びる。半導体構造700は、置換ゲートの上に複数の窒化物キャップ144を含むこともできる。複数の窒化物キャップ144の各々は、それぞれの置換ゲート140の上に配置することができる。
図7Bでは、第1のPMD層132aは、半導体構造700内の低kスペーサー間に形成されたギャップ142に底部充填されて、底部NMOSチャネル構造を覆い、上部PMOSチャネル構造を露出させることができる。一例では、第1のPMD層132a層は、SiO、TEOS酸化物、SiH4酸化物、BPSG、PSG、USG、SOD等から作製することができる。別の例では、第1のPMD層を、任意選択的にギャップ142を完全に充填するように堆積させ得る。CMPプロセスなどの後続の平坦化プロセスを適用して、第1のPMD層132aを窒化物キャップ144まで平坦化し、次いでエッチングプロセスを適用して、底部NMOSチャネル構造が第1のPMDによって覆われ上部PMOSチャネル構造が露出するように、第1のPMD層132aを目標とする高さまで後退させることができる。一部の実施形態では、堆積/CMP/凹部エッチングプロセスに比べて底部充填プロセスが好ましく、なぜなら、底部充填プロセスは、はるかに高い精度で実施できるからである。堆積/CMP/凹部エッチングプロセスは、3つの異なるステップからばらつきを持ち込み得る。
図7Cでは、PMOSシリコンナノシートのみが露出されると、PMOSシリコンナノシートは、TEL CERTASエッチングプロセス(気相エッチング)などのエッチングプロセスによってくぼませられ、その後、エッチング選択性窒化物フィルム146でキャップされ得る。別の例では、PMOSシリコンナノシートは、従来の原子層堆積を使用することにより窒化物層で覆われ、その後、PMOSチャネルに栓をする(キャップする)ために、スペーサーオープンエッチングを通してくぼませられ得る。
図8Aでは、次いで第1のPMD層132aを除去して、下側の(底部の)層状NMOSナノ構造(即ちナノシート、ナノワイヤ)を露出させることができる。従って、複数のギャップ148を半導体構造700内に形成することができる。図8Bでは、底部ナノシートが露出されると、エピタキシャル成長プロセスを通して、複数の底部ソース/ドレイン102a~102cを形成することができる。底部NMOSソース/ドレイン102a~102cは、リンがドープされたシリコンから作製することができる。底部NMOSソース及びドレインは、底部チャネル構造を取り囲み、且つ低kスペーサー間に配置することができる。一部の実施形態では、Ti層の後続の原子層堆積を実行して、ソース及びドレインの表面上にTiSi層を形成し得る。半導体構造700の残りの領域に残存するTi層は、選択的エッチングプロセスを通してソース及びドレインの表面上にTiSi層を形成した後に除去することができる。図8Cでは、第2のPMD層132bをギャップ148に充填し、CMPプロセスを通して平坦化することができ、窒化物キャップ144がCMPプロセスの停止層として機能することができる。
図9及び図10では、底部S/Dコンタクト及びビア・トゥ・レール構造を形成することができる。底部S/Dコンタクト及びビア・トゥ・レール構造を形成するために幾つかの方式を適用することができる。一例では、デュアルダマシン方式(構造)を使用することができ、この方式では、BEOL内の初期金属層(即ち図2Aの122)の形成と同様に、底部S/Dコンタクトを形成することができる。デュアルダマシン構造は、バー形状のコンタクト及びビアを含むことができる。ビアは、埋め込まれたパワーレールと底部S/Dコンタクトとの間に接続を提供するためのビア・トゥ・レール構造として機能することができる。デュアルダマシン方式では、S/Dコンタクト開口部及びビア開口部を含むデュアルダマシン開口部を、エッチングプロセスを通してハードマスクスタックからPMD層にパターンを転写することによって形成することができる。デュアルダマシン開口部は、EUV直接プリント、EUV LELE(リソグラフィ/エッチング/リソグラフィ/エッチング)、スペーサー支援LELE及び複数のパターニング(即ちSADP/SAQP(Self-aligned double/quad patterning))などの複数のオプションの技術を通して、従来の方式又は自己整合ブロッキング方式を使用することにより形成することができる。
図9Aに示すように、TiN/TiOハードマスク層150及びTEOS層152を含む第1のハードマスクスタックを形成し、パターン形成することができる。第1のハードマスクスタック上に形成されたパターンを使用して、S/Dコンタクト開口部を形成することができる。図9Bでは、スピンオンカーボン層154、SiON層156及びフォトレジスト層158を含む第2のハードマスクスタックを第1のハードマスクスタック上に形成し、パターン形成することができる。第2のハードマスクスタック上に形成されたパターンを使用して、ビア・トゥ・レール開口部を形成することができる。図9Cでは、ドライエッチングプロセスなどの第1のエッチングプロセスを適用して、ビア・トゥ・レール開口部のパターンを第2のハードマスクから第2のPMD層132bに転写することができる。第1のエッチングプロセス後、第2のPMD層132b内に複数のビア・トゥ・レール開口部160を形成することができる。一実施形態では、ビア・トゥ・レール開口部160は、パワーレールのレール誘電体キャップ120上で停止し得る。別の実施形態では、ビア・トゥ・レール開口部160は、パワーレールを露出させるように、パワーレールのレール誘電体キャップ120を通過し得る。
図10Aでは、第2のエッチングプロセスを適用して第1のハードマスクスタックのパターンをPMD層に転写して、複数のS/Dコンタクト開口部を形成することができる。第2のエッチングプロセスが完了すると、TEOS層152、スピンオンカーボン層154、SiON層156及びフォトレジスト層158は、第2のエッチングプロセスによって消滅し得、TiN/TiOハードマスク層150のみが残る。デュアルダマシン方式において示されるように、底部S/Dコンタクト開口部のパターンは、所与のハードマスク(即ち150、152)に記憶され得、このハードマスクは、その後、スピンオンカーボン材料(即ち154、156及び158)を用いて充填される。ビア・トゥ・レール開口部のパターンをこのスピンオンカーボン材料内に形成し、次いでPMD層に転写することができる。開示するデュアルダマシンプロセスは、ビア・トゥ・ドレイン構造と埋め込まれたパワーレールとの間の初期トップダウン自己整合をもたらす。ビア・トゥ・ドレイン構造と埋め込まれたパワーレールとの間の自己整合は、埋め込まれたパワーレールの表面上に直接的に堆積されたレール誘電体キャップ(即ち120)を通して実現することができ、レール誘電体キャップのエッチング選択性は、PMD層の酸化物と比べて異なっている。
引き続き図10Aを参照すると、第2のPMD層132bの酸化物と、底部NMOSソース及びドレイン102の表面上に形成されたTiSi又はTiSiの上に堆積された任意のコンタクトエッチング停止層(CESL)ライナーとの間に最大の選択性を提供するために、第2のエッチングプロセスは、直線の酸化物エッチングであり得、底部NMOSソース及びドレイン102に到達すると、原子層又は準原子層エッチングがこれに続き得る。ビア・トゥ・レール開口部160は、第2のエッチングプロセスが完了すると、パワーレールのレール誘電体キャップ120を通過できることに留意されたい。
図10Bでは、底部S/Dコンタクト開口部162及びビア・トゥ・レール開口部160は、コンタクト(導電性)材料を用いて底部充填され得る。コンタクト材料は、タングステン、コバルト、銅、ルテニウム等であり得る。コンタクト材料の選択は、後続のプロセスステップで導入することができる1つ又は複数の熱的プロセスに依存し得る。S/Dドーパントの活性化及び高kアニーリングなど、引き続いて導入される熱的プロセスにより、コンタクト材料の選択は、一般的にルテニウムなどの金属に限定される。一部の実施形態では、コンタクト材料が堆積される前にライナー材料を堆積させ得る。ライナー材料は、最適な充填機能をもたらすために例えばTaNであり得る。
引き続き図10Bを参照すると、底部S/Dコンタクト開口部内に堆積した過剰なルテニウムをエッチング除去して、所望の厚さにすることができる。エッチング除去プロセスが完了すると、バー形状を有する底部NMOS S/Dコンタクト106及び溝形状を有する116bなどのビア・トゥ・レール構造を形成することができる。ビア・トゥ・レール構造は、底部S/Dコンタクトと、ターゲットのパワーレールとを接続することができる。バー形状の底部NMOS S/Dコンタクトは、上部部分(面)、底部部分(面)及び2つの側部部分(面)を有し得る。更に、底部NMOS S/Dコンタクトの上面上に形成されたTaNライナーをエッチング除去プロセスによって除去することができる。従って、底部S/Dコンタクトの上部部分は、ライナー材料なしのルテニウムを含む。
図10Cでは、底部NMOS S/Dコンタクトの上部部分の上に底部誘電体キャップの第1の層110’を選択的に堆積させることができる。第1の層110’は、AlOなどの金属酸化物材料から作製され得る。第1の層は、SiO、SiC、SiN、SiOC、SICN、SiOCN、有機系材料等からも作製され得る。第1の層は、タングステン、ルテニウム、コバルト、銅、金属含有ライナー材料(TaN、TiN、Ta及びTiなど)から作製される金属フィルムであり得る。図10Cの実施形態では、第1の層110’は、AlOであり、原子層堆積(ALD)プロセス、化学気相堆積(CVD)プロセス、拡散プロセス又は他の適切な堆積プロセスを通して形成することができる。別の実施形態では、第1の層110’は、充填/CMP/凹部エッチング又は溝への直接的な底部充填などの他の手段によって堆積され得る。
図11Aでは、次いで底部S/Dコンタクト開口部162をSiOなどの酸化物で充填し、完全な第2のPMD層132bを形成することができる。その後、第2のPMD層132bは、CMPプロセスを通して窒化物キャップ144まで下がって平坦化され得る。図11Bでは、第2のPMD層132bは、第1の層110’を停止層として使用することにより、第3のエッチングプロセスを通して底部S/Dコンタクトの側部部分を露出させることができるように再度くぼませられ得る。一実施形態では、第3のエッチングプロセスは、第2のPMD層132bをくぼませ、底部S/Dコンタクトの側部部分に形成されたTaNライナーを露出させる。別の実施形態では、第3のエッチングプロセスは、底部S/Dコンタクトの側部部分からTiNなどのライナー材料を更に除去し、ルテニウムなどのコンタクト材料を露出させることができる。図11Cでは、底部誘電体キャップの第2の層110’’を第1の層110’の上に形成することができる。第2の層110’’は、底部S/Dコンタクトの側部部分を更に覆うことができる。第2の層は、SiO、SiC、SiN、SiOC、SICN、SiOCN、有機系材料等を含み得る。図11Cに示すように、第2の層110’’が堆積されると、底部誘電体キャップ110が形成される。
図12~図13は、上部S/Dコンタクト開口部及びビア・トゥ・レール開口部を含むデュアルダマシン開口部を形成するためのデュアルダマシン方式を提供する。上部S/Dコンタクト開口部及びビア・トゥ・レール開口部は、ハードマスクスタックのパターンをPMD層に転写することにより形成することができる。ハードマスクスタック内のパターンの形成及び転写は、(a)直接EUV露光、(b)EUV LELE、(c)スペーサー支援LELE、(d)直接ブロック/カット層又は統合自己整合ブロックプロセスを組み込んだSADP/SAQPなどの複数のパターニングなどの様々な方法によって実施することができる。
図12Aに示すように、次いで、3つのナノシートを含む上部PMOSチャネル領域を覆うエッチング選択性窒化物フィルム146を除去することができる。その後、SiGe:B上部ソース及びドレイン104をシリコンベースのナノシートからエピタキシャル成長させることができる。更に、TiSiを選択的に形成するために、成長したPMOSソース及びドレインにTiの原子層堆積を適用することができる。半導体構造700の残りの領域に残存するTi層は、TiSiの形成後に除去することができる。
図12Bでは、第3のエッチングプロセスによって形成されたギャップ164を酸化物で充填して、PMD層132を形成することができる。図12Cでは、TiN/TiOハードマスク層166及びTEOS層168を含む第3のハードマスクスタックを形成し、パターン形成することができる。第3のハードマスクスタック上に形成されたパターンを使用して、上部S/Dコンタクト開口部を形成することができる。図13Aでは、スピンオンカーボン層170、SiON層172及びフォトレジスト層174を含む第4のハードマスクスタックを第3のハードマスクスタック上に形成し、パターン形成することができる。第4のハードマスクスタック上に形成されたパターンを使用して、ビア・トゥ・レール開口部を形成することができる。図13Bでは、ドライエッチングプロセスなどの第4のエッチングプロセスを適用して、ビア・トゥ・レール開口部のパターンを第4のハードマスクからPMD層132に転写することができる。第4のエッチングプロセス後、PMD層内に複数のビア・トゥ・レール開口部176を形成することができる。一実施形態では、ビア・トゥ・レール開口部176は、パワーレールのレール誘電体キャップ120上で停止し得る。別の実施形態では、ビア・トゥ・レール開口部176は、パワーレールを露出させるように、パワーレールのレール誘電体キャップ120を通過し得る。
図13Cでは、第5のエッチングプロセスを適用して第3のハードマスクスタックからPMD層132にパターンを転写して、複数の上部S/Dコンタクト開口部178を形成することができる。第5のエッチングプロセスが完了すると、TEOS層168、スピンオンカーボン層170、SiON層172及びフォトレジスト層174は、第5のエッチングプロセスによって消滅し得、TiN/TiOハードマスク層166のみが残る。
図14Aに示すように、上部S/Dコンタクト開口部178及びビア・トゥ・レール開口部176がPMD層132内に形成されると、上部S/Dコンタクト開口部178及びビア・トゥ・レール開口部176に金属層を堆積させることができる。一実施形態では、上部S/Dコンタクトの最終的な高さをよりよく制御し、且つビア・トゥ・レール開口部176を確実に完全に充填するために、ボトムアップ充填プロセスを適用し得る。S/Dコンタクトの最終的な高さのばらつきを制御し、更にステップの総数/費用/サイクル時間を減らしてプロセスをより経済的に有益なものにするために、底部充填プロセスの使用が有益であり得る。一実施形態では、金属層を堆積させる前に、TaNなどのライナーを形成することができる。後に続いて等方性エッチングを適用して、上部S/Dコンタクト開口部の側壁に沿って形成された過剰な金属層を除去することができる。等方性エッチングが完了すると、上部PMOS S/Dコンタクト108並びにビア・トゥ・レール構造116a及び116cが形成され得る。ビア・トゥ・レール構造は、上部S/Dコンタクトとターゲットのパワーレールを接続することができる。上部PMOS S/Dコンタクト108は、上部部分、底部部分及び側部部分を有するバー形状を有することができる。等方性エッチングにより、上部PMOS S/Dコンタクトの上部部分上に形成されたライナーを除去することもできる。
図14Bでは、上部誘電体キャップ112の第1の層112’を上部S/Dコンタクトの上部部分上に選択的に堆積させることができる。別の例では、第1の層112’は、堆積/CMP/凹部エッチングプロセスによって形成され得る。上部誘電体キャップ112の第1の層112’が所定の位置に配置されると、第1の層112’を停止層として使用することにより、第6のエッチングプロセスを通して上部S/Dコンタクトの側部部分を露出させることができるようにPMD層132を再度くぼませることができる。一実施形態では、第6のエッチングプロセスは、PMD層をくぼませ、上部S/Dコンタクトの側部部分に形成されたTaNライナーを露出させる。別の実施形態では、第6のエッチングプロセスは、上部S/Dコンタクトの側部部分からTaNなどのライナー材料を更に除去し、ルテニウムなどの金属層を露出させることができる。一部の実施形態では、第6のエッチングプロセスは、上部S/Dコンタクトの最終的な深さをより高精度に規定するために、直接エッチングと、これに続く原子層エッチング又は準原子層エッチングとを含み得る。一部の実施形態では、第6のエッチングプロセスは、酸化物又は置換コンタクト材料の気相サイクリックエッチング(化学酸化物除去又は同様のプロセス)を組み込み得る。
図15Aでは、第2の層112’’を第1の層112’の上に形成することができる。第2の層は、上部S/Dコンタクトの側部部分を更に覆うことができる。第2の層は、SiO、SiC、SiN、SiOC、SICN、SiOCN、有機系材料等を含み得る。図15Aに示すように、第2の層112’’が堆積されると、上部誘電体キャップ112が形成される。
図15Bでは、第6のエッチングプロセスによって形成されたギャップ180を酸化物で充填して、完全なPMD層132を形成することができる。一部の実施形態では、CMPプロセスなどの表面平坦化プロセスを適用して、窒化物キャップ144上の過剰な酸化物を除去し得る。図15Cでは、CMPが終了すると、エッチング選択キャップ(即ち134)のための空間を作るために、PMD層132を下方にくぼませ得る。一部の実施形態では、くぼませた後、PMD層132の上面132’は、上部誘電体キャップ112の上方に配置され得る。エッチング選択キャップ(即ち134)は、エッチング選択グローバルS/D領域キャップとして機能することができ、これを適用して、ビア・トゥ・ドレイン構造とS/Dコンタクトとの間に自己整合をもたらすことができる。エッチング選択キャップは、ビア・トゥ・ドレイン構造が意図せず開いていること又は金属ゲートと短絡することを防止することができる。逆に、エッチング選択キャップは、ビア・トゥ・ゲート構造が意図せず開いていること又は層状S/Dコンタクトのいずれかと短絡することを防止することができる。
PMD層132がエッチング選択キャップ134を堆積させるための空間を残してくぼませられると、置換ゲート140は、置換ゲートを除去すること及び高k層に続いて金属ゲート層を堆積させることにより、高k/金属ゲートに変換され得ることに留意されたい。
図16Aでは、PMD層132のくぼんだ部分内にエッチング選択キャップ134を形成することができる。図16Bでは、第1の酸化物層182及びハードマスク層184並びに第2の酸化物層186を含む第5のハードマスクスタックを形成し、パターン形成することができる。第5のハードマスクスタック上に形成されたパターンを使用して、初期金属層(即ちM0)開口部を形成することができる。図16Bでは、スピンオンカーボン層188、SiON層190及びフォトレジスト層192を含む第6のハードマスクスタックを第5のハードマスクスタック上に形成し、パターン形成することができる。第6のハードマスクスタック上に形成されたパターンを使用して、ビア・トゥ・ドレイン開口部を形成することができる。図17Aでは、ドライエッチングプロセスなどの第7のエッチングプロセスを適用して、ビア・トゥ・ドレイン開口部のパターンを第6のハードマスクから第1の酸化物層182に転写して、複数のビア・トゥ・ドレイン開口部194を形成することができる。ビア・トゥ・ドレイン開口部194は、エッチング選択キャップ134上で停止することができる。
図17Bでは、スピンオンカーボン層196を第6のハードマスクスタックの表面上に堆積させることができる。スピンオンカーボン層196は、ビア・トゥ・ドレイン開口部194を充填することができる。更に、フォトリソグラフィプロセスを適用して、スピンオンカーボン層196上に複数のビアパターン197を形成することができる。その後、ビアパターン197は、エッチングプロセスを通して第1の酸化物層182に転写されて、複数のビア・トゥ・ドレイン開口部198を形成することができる。
図17Cでは、スピンオンカーボン層196及び第6のハードマスクスタック(即ち188、190及び192)をエッチングプロセスによって除去することができ、また第8のエッチングプロセスを適用してビア・トゥ・ドレイン開口部194及び198を延ばすことができ、それにより、ビア・トゥ・ドレイン開口部194及び198は、エッチング選択キャップ134を通過することができる。一部の実施形態では、第8のエッチングプロセスが完了すると、第5のハードマスクスタック(即ち182、184及び186)のみが残る。
図18Aでは、第5のハードマスク内のパターンを下方のPMD層132に転写する第9のエッチングプロセスを適用することができる。第9のエッチングプロセス後、ビア・トゥ・ドレイン開口部194及び198は、PMD層132中に更に延び、上部及び底部誘電体キャップで停止することができる。更に、第9のエッチングプロセス後、複数の初期金属層(即ちM0)開口部199を形成することができる。図18Bでは、パンチプロセスを適用して、ビア・トゥ・ドレイン開口部194及び198を上部及び底部誘電体キャップを通過するように延ばすことができる。パンチプロセスが完了すると、第1の酸化物層182のみが残る。
図18Cでは、タングステン又は銅などの金属層をビア・トゥ・ドレイン開口部194及び198並びに初期金属層開口部199内に形成することができる。後続の表面平坦化プロセスを適用して、過剰な金属層を除去することができる。表面平坦化プロセスが完了すると、デバイス100と同一の半導体デバイスを形成することができる。
図19は、3D CFETデバイスを製造するためのプロセス1900のフローチャートである。プロセス1900は、ステップ1904で開始し、このステップでは半導体構造が形成される。半導体構造は、第1のチャネル構造、第2のチャネル構造及び複数のパワーレールを含むことができる。複数のパワーレールを第1のチャネル構造の下に配置して、階段状構成を形成することができる。第1のチャネル構造の上に第2のチャネル構造を形成することができる。第1及び第2のチャネル構造は、複数のパワーレールの長さ方向に沿って延びる。一部の実施形態では、ステップ1904は、図7Aを参照して説明したように実施することができる。
次いで、プロセス1900は、ステップ1906に進み、このステップでは複数の第1のソース/ドレインを形成することができる。複数の第1のソース/ドレインは、第1のチャネル構造を取り囲むことができ、PMD層などの第1の誘電体層内に埋め込まれ得る。一部の実施形態では、ステップ1906は、図7B~図8Cを参照して説明したように実施することができる。
プロセス1900は、ステップ1908に進み、このステップでは複数の第1のソース/ドレイン(S/D)コンタクトを形成することができる。複数の第1のS/Dコンタクトの各々は、それぞれの第1のソース/ドレインの上に形成され、且つ上部部分、底部部分及び側部部分を有するバー形状を有することができ、それにより、底部部分は、それぞれの第1のソース/ドレインを覆う。一部の実施形態では、ステップ1908は、図9A~図10Bを参照して説明したように実施することができる。
プロセス1900のステップ1910では、複数の第1の誘電体キャップが複数の第1のS/Dコンタクトの上に形成され得る。複数の第1の誘電体キャップの各々は、それぞれの第1のS/Dコンタクトの上に配置されて、それぞれの第1のS/Dコンタクトの上部部分及び側部部分の少なくとも一部を覆うことができる。一部の実施形態では、ステップ1910は、図10C~図11Cを参照して説明したように実施することができる。
次いで、プロセス1900は、ステップ1912に進み、このステップでは複数の第2のソース/ドレインを形成することができ、第2のソース/ドレインの上に複数の第2のソース/ドレイン(S/D)コンタクトを形成することができる。複数の第2のソース/ドレインは、複数の第1のソース/ドレインの上に配置され、第2のチャネル構造を取り囲み、且つPMD層などの第2の誘電体層内に埋め込まれ得る。複数の第2のS/Dコンタクトの各々は、それぞれの第2のソース/ドレインの上に形成され、且つ上部部分、底部部分及び側部部分を有するバー形状を有することができ、それにより、底部部分は、それぞれの第2のソース/ドレインを覆う。一部の実施形態では、ステップ1912は、図12A~図14Aを参照して説明したように実施することができる。
プロセス1900は、ステップ1914に更に進み、このステップでは複数の第2の誘電体キャップを複数の第2のS/Dコンタクトの上に形成することができる。複数の第2の誘電体キャップの各々は、それぞれの第2のS/Dコンタクトの上に配置されて、それぞれの第2のS/Dコンタクトの上部部分及び側部部分の少なくとも一部を覆うことができる。一部の実施形態では、ステップ1914は、図14B~図15Bを参照して説明したように実施することができる。
プロセス1900は、初期金属層及び複数のビア・トゥ・ドレイン構造を形成するためのステップを更に含むことができる。一部の実施形態では、初期金属層及び複数のビア・トゥ・ドレイン構造を形成するステップは、図15C~図18Cを参照して説明したように実施することができる。
本開示では、S/Dコンタクトの側面に沿った側壁誘電体は、ビア・トゥ・ドレイン構造を誘導し、ビア・トゥ・ドレイン構造が下方の意図した(ターゲットの)層状S/Dコンタクトに転写される際、自己整合の追加の手段を提供する。各誘電体キャップが開かれ、接続が形成されると、全てのビア・トゥ・ドレイン構造及び初期金属層を同時に金属化することができる。プロセス1900の例では、ビア・トゥ・ゲート構造を導入して、ゲート構造と初期金属層とを接続するのではなく、ゲート構造と第2の金属層とを接続することができる。ビア・トゥ・ゲート構造を初期金属層に接続することが必要である場合、スピンオン有機フィルムを使用したプラグプロセスを任意選択的に使用して、ビア・トゥ・ドレイン接続前又は後のいずれかでビア・トゥ・ゲート構造を実行することが可能である。
プロセス1900前、その間中及びその後に追加のステップを設けることができ、プロセス1900の更なる実施形態のために、説明したステップの一部を置き換えるか、削除するか、又は異なる順序で実施することができることに留意されたい。後続のプロセスステップでは、半導体デバイス100の上に様々な追加の相互接続構造(例えば、導電性ライン及び/又はビアを有するメタライゼーション層)が形成され得る。そのような相互接続構造は、半導体デバイス100を他のコンタクト構造及び/又は能動デバイスと電気的に接続して、機能回路を形成する。パッシベーション層、入力/出力構造等などの更なるデバイス特徴部が形成されることもある。
前述の説明では、処理システムの特定の形状及びそこで使用される様々な構成要素及びプロセスの説明など、特定の詳細を説明してきた。しかしながら、本明細書の技術は、これらの特定の詳細から逸脱する他の実施形態で実施することができ、そのような詳細は、説明のためのものであり、限定のためのものではないことを理解されたい。本明細書で開示される実施形態が添付の図面を参照して説明されてきた。同様に、説明の目的のため、詳細な理解を提供するために特定の番号、材料及び構成が示されてきた。それにもかかわらず、そのような特定の詳細なしに実施形態を実施することができる。実質的に同じ機能的構成を有する構成要素は、同様の参照記号によって示され、従って、任意の冗長な説明は、省略され得る。
様々な実施形態の理解を支援するために、様々な技術が複数の個別の動作として説明されてきた。説明の順序は、これらの動作が必ず順序に依存することを意味すると解釈されるべきではない。実際に、これらの動作は、提示した順序で実行される必要はない。説明された動作は、説明された実施形態と異なる順序で実行され得る。追加の実施形態では、様々な追加の動作を実行することができ、且つ/又は説明した動作を省略することができる。
本明細書で使用される「基板」又は「ターゲット基板」は、本発明に従って処理される物体を総称して指す。基板は、デバイス、特に半導体又は他の電子デバイスの任意の材料部分又は構造を含み得、例えば半導体ウェハ、レチクルなどのベース基板構造又は薄膜などのベース基板構造上若しくはそれに重なる層であり得る。従って、基板は、任意の特定のベース構造、下層又は上層、パターン付き又はパターンなしに限定されず、むしろ任意のそのような層若しくはベース構造並びに層及び/又はベース構造の任意の組み合わせを含むと考えられる。説明では、特定の種類の基板を参照している場合があるが、これは、説明のみを目的とするものである。
また、当業者であれば、本発明の同じ目的をなおも達成しながら、上記で説明した技術の動作に対してなされる多くの変形形態が存在し得ることを理解するであろう。そのような変形形態は、本開示の範囲に包含されることが意図される。従って、本発明の実施形態の前述の説明は、限定することを意図したものではない。むしろ、本発明の実施形態に対する任意の限定は、以下の特許請求の範囲に提示される。

Claims (20)

  1. 半導体デバイスであって、
    複数の第1のソース/ドレイン(S/D)コンタクト及び複数の第1のソース/ドレインであって、前記複数の第1のS/Dコンタクトの各々は、それぞれの第1のソース/ドレインの上に形成され、上部部分、底部部分及び側部部分を有するバー形状を有し、前記複数の第1のソース/ドレイン(S/D)コンタクトの各々の前記底部部分は、前記それぞれの第1のソース/ドレインを覆う、複数の第1のソース/ドレイン(S/D)コンタクト及び複数の第1のソース/ドレインと、
    前記複数の第1のS/Dコンタクトの上に形成された複数の第1の誘電体キャップであって、前記複数の第1の誘電体キャップの各々は、それぞれの第1のS/Dコンタクトの上に配置され、前記それぞれの第1のS/Dコンタクトの前記上部部分及び前記側部部分の少なくとも一部を覆う、複数の第1の誘電体キャップと、
    前記複数の第1のS/Dコンタクトの上に配置された複数の第2のS/Dコンタクト及び複数の第2のソース/ドレインであって、前記複数の第2のS/Dコンタクトの各々は、それぞれの第2のS/Dの上に形成され、上部部分、底部部分及び側部部分を有するバー形状を有し、前記複数の第2のソース/ドレイン(S/D)コンタクトの各々の前記底部部分は、前記それぞれの第2のソース/ドレインを覆い、前記複数の第2のS/Dコンタクトは、前記複数の第1のS/Dコンタクトの上に互い違いに配置されて階段状構成を形成する、複数の第2のS/Dコンタクト及び複数の第2のソース/ドレインと、
    前記複数の第2のS/Dコンタクトの上に形成された複数の第2の誘電体キャップであって、前記複数の第2の誘電体キャップの各々は、それぞれの第2のS/Dコンタクトの上に配置され、前記それぞれの第2のS/Dコンタクトの前記上部部分及び前記側部部分の少なくとも一部を覆う、複数の第2の誘電体キャップと、
    を含む半導体デバイス。
  2. 前記複数の第1の誘電体キャップの各々は、前記それぞれの第1のS/Dコンタクトの前記側部部分を完全に覆う、請求項1に記載の半導体デバイス。
  3. 前記複数の第2の誘電体キャップの各々は、前記それぞれの第2のS/Dコンタクトの前記側部部分を完全に覆う、請求項1に記載の半導体デバイス。
  4. 前記複数の第1の誘電体キャップの各々は、前記それぞれの第1のS/Dコンタクトの前記上部部分上に配置された底部層と、前記それぞれの第1のS/Dコンタクトの前記上部部分及び前記側部部分を覆うように前記底部層上に形成された上部層とを含む、請求項1に記載の半導体デバイス。
  5. 前記複数の第2の誘電体キャップの各々は、前記それぞれの第2のS/Dコンタクトの前記上部部分上に配置された底部層と、前記それぞれの第2のS/Dコンタクトの前記上部部分及び前記側部部分を覆うように前記底部層上に形成された上部層とを含む、請求項1に記載の半導体デバイス。
  6. 基板に埋め込まれ、階段状構成を形成するように前記複数の第1のS/Dコンタクトの下に配置された、複数のパワーレールを更に含み、
    前記複数の第1のS/Dコンタクトの少なくとも1つは、第1のビア・トゥ・レール構造を通して前記複数のパワーレールの第1のパワーレールに接続され、及び
    前記複数の第2のS/Dコンタクトの少なくとも1つは、第2のビア・トゥ・レール構造を通して前記複数のパワーレールの第2のパワーレールに接続される、請求項1に記載の半導体デバイス。
  7. 前記複数のパワーレールの上に形成された複数の第3の誘電体キャップを更に含み、前記複数の第3の誘電体キャップの各々は、それぞれのパワーレールの上に形成され、前記第1のビア・トゥ・レール構造は、前記第1のパワーレール上に形成された、前記第3の誘電体キャップの1つを通過し、前記第2のビア・トゥ・レール構造は、前記第2のパワーレール上に形成された、前記第3の誘電体キャップの別の1つを通過する、請求項6に記載の半導体デバイス。
  8. 更に、
    複数のビア・トゥ・ドレイン構造であって、前記複数の第1のS/Dコンタクト及び前記複数の第2のS/Dコンタクトは、階段状であり、前記複数のビア・トゥ・ドレイン構造の少なくとも1つは、第1の誘電体キャップを通過して前記複数の第1のS/Dコンタクトの1つに接続し、前記複数のビア・トゥ・ドレイン構造の少なくとも別の1つは、第2の誘電体キャップを通過して前記複数の第2のS/Dコンタクトの1つに接続する、複数のビア・トゥ・ドレイン構造と、
    複数の金属線であって、前記複数のビア・トゥ・ドレイン構造と電気的に結合される、複数の金属線と、
    を有する、請求項6に記載の半導体デバイス。
  9. 前記複数の第1の誘電体キャップの各々は、前記それぞれの第1のS/Dコンタクトを、隣接する第1のS/Dコンタクト、隣接するビア・トゥ・ドレイン構造、隣接する第1のビア・トゥ・レール構造及び隣接する第2のビア・トゥ・レール構造の少なくとも1つから分離するように構成される、請求項8に記載の半導体デバイス。
  10. 前記複数の第2の誘電体キャップの各々は、前記それぞれの第2のS/Dコンタクトを、隣接する第2のS/Dコンタクト、隣接するビア・トゥ・ドレイン構造及び隣接する第2のビア・トゥ・レール構造の少なくとも1つから分離するように構成される、請求項8に記載の半導体デバイス。
  11. 更に、
    前記パワーレールの一つの長さ方向に沿って形成された第1のチャネル構造と、
    前記パワーレールの前記一つの前記長さ方向に沿って形成された第2のチャネル構造と、
    複数のゲート構造と、
    を含み、
    前記複数のゲート構造は、前記第1のチャネル構造及び前記第2のチャネル構造を取り囲み、
    前記複数の第1のソース/ドレインは、前記第1のチャネル構造を取り囲み、
    前記複数の第2のソース/ドレインは、前記第2のチャネル構造を取り囲み、
    前記複数のゲート構造及び前記複数の第1のソース/ドレインは、複数の低Kスペーサーによって互いから離間され、交互に配置され、
    前記複数のゲート構造及び前記複数の第2のソース/ドレインは、前記複数の低Kスペーサーによって互いから離間され、交互に配置される、請求項6に記載の半導体デバイス。
  12. 半導体デバイスを製造するための方法であって、
    半導体構造を形成するステップであって、前記半導体構造は、第1のチャネル構造、第2のチャネル構造、及び基板内に埋め込まれた複数のパワーレールを含み、該複数のパワーレールは、前記第1のチャネル構造の下に配置されて階段状構成を形成し、前記第2のチャネル構造は、前記第1のチャネル構造の上に形成され、前記第1及び第2のチャネル構造は、前記複数のパワーレールの長さ方向に沿って延びる、ステップと、
    複数の第1のソース/ドレインを形成するステップであって、前記複数の第1のソース/ドレインは、前記第1のチャネル構造を取り囲み、第1の誘電体層内に埋め込まれる、ステップと、
    複数の第1のソース/ドレイン(S/D)コンタクトを形成するステップであって、前記複数の第1のS/Dコンタクトの各々は、それぞれの第1のソース/ドレインの上に形成され、上部部分、底部部分及び側部部分を有するバー形状を有し、前記複数の第1のソース/ドレイン(S/D)コンタクトの各々の前記底部部分は、前記それぞれの第1のソース/ドレインを覆う、ステップと、
    前記複数の第1のS/Dコンタクトの上に複数の第1の誘電体キャップを形成するステップであって、前記複数の第1の誘電体キャップの各々は、それぞれの第1のS/Dコンタクトの上に配置されて、前記それぞれの第1のS/Dコンタクトの前記上部部分及び前記側部部分の少なくとも一部を覆う、ステップと、
    複数の第2のソース/ドレインを形成するステップであって、前記複数の第2のソース/ドレインは、前記複数の第1のソース/ドレインの上に配置され、前記第2のチャネル構造を取り囲み、第2の誘電体層内に埋め込まれる、ステップと、
    複数の第2のソース/ドレイン(S/D)コンタクトを形成するステップであって、前記複数の第2のS/Dコンタクトの各々は、それぞれの第2のソース/ドレインの上に形成され、上部部分、底部部分及び側部部分を有するバー形状を有し、前記複数の第2のソース/ドレイン(S/D)コンタクトの各々の前記底部部分は、前記それぞれの第2のソース/ドレインを覆い、前記複数の第2のS/Dコンタクトは、前記複数の第1のS/Dコンタクトの上に互い違いに配置されて階段状構成を形成する、ステップと、
    前記複数の第2のS/Dコンタクトの上に複数の第2の誘電体キャップを形成するステップであって、前記複数の第2の誘電体キャップの各々は、それぞれの第2のS/Dコンタクトの上に配置されて、前記それぞれの第2のS/Dコンタクトの前記上部部分及び前記側部部分の少なくとも一部を覆う、ステップと、
    を含む方法。
  13. 前記複数の第1のソース/ドレイン(S/D)コンタクトを形成するステップは、更に、
    前記第1の誘電体層内に複数の第1のデュアルダマシン開口部を形成して、前記複数の第1のソース/ドレインと、前記複数のパワーレールの少なくとも1つとを露出させるステップと、
    前記第1のデュアルダマシン開口部に、前記複数の第1のS/Dコンタクト及び少なくとも第1のビア・トゥ・レール構造を形成するステップであって、前記複数の第1のS/Dコンタクトの各々は、それぞれの第1のソース/ドレインの上に形成され、上部部分、底部部分及び側部部分を有するバー形状を有し、前記複数の第1のソース/ドレイン(S/D)コンタクトの各々の前記底部部分は、前記それぞれの第1のソース/ドレインを覆い、前記複数の第1のS/Dコンタクトの少なくとも1つは、前記第1のビア・トゥ・レール構造を通して複数のパワーレールの1つに接続される、ステップと、
    を含む、請求項12に記載の方法。
  14. 前記複数の第1のS/Dコンタクトの上に前記複数の第1の誘電体キャップを形成するステップは、更に、
    前記複数の第1のS/Dコンタクトの前記上部部分の上に複数の底部誘電体層を形成するステップであって、前記複数の底部誘電体層の各々は、それぞれの第1のS/Dコンタクトの前記上部部分上に配置される、ステップと、
    前記複数の第1のデュアルダマシン開口部を第3の誘電体層で充填するステップと、
    前記第1の誘電体層及び前記第3の誘電体層をくぼませて、前記複数の第1のS/Dコンタクトの前記上部部分及び側部部分を露出させるステップと、
    前記複数の底部誘電体層の上に複数の上部誘電体層を形成するステップであって、前記複数の上部誘電体層の各々は、それぞれの底部誘電体層の上に配置されて、前記それぞれの第1のS/Dコンタクトの前記上部部分及び前記側部部分を覆う、ステップと、
    を含む、請求項13に記載の方法。
  15. 前記複数の第2のソース/ドレイン(S/D)コンタクトを形成するステップは、更に、
    前記第2の誘電体層に複数の第2のデュアルダマシン開口部を形成して、前記複数の第2のソース/ドレイン及び前記複数のパワーレールの少なくとも1つを露出させるステップと、
    前記第2のデュアルダマシン開口部に前記複数の第2のS/Dコンタクト及び少なくとも第2のビア・トゥ・レール構造を形成するステップであって、前記複数の第2のS/Dコンタクトの各々は、それぞれの第2のソース/ドレインの上に形成され、上部部分、底部部分及び側部部分を有するバー形状を有し、前記複数の第2のソース/ドレイン(S/D)コンタクトの各々の前記底部部分は、前記それぞれの第2のソース/ドレインを覆い、前記複数の第2のS/Dコンタクトの少なくとも1つは、前記第2のビア・トゥ・レール構造を通して複数のパワーレールの1つに接続される、ステップと、
    を含む、請求項12に記載の方法。
  16. 前記複数の第2のS/Dコンタクトの上に前記複数の第2の誘電体キャップを形成するステップは、更に、
    前記複数の第2のS/Dコンタクトの前記上部部分の上に複数の底部誘電体層を形成するステップであって、前記複数の底部誘電体層の各々は、それぞれの第2のS/Dコンタクトの前記上部部分上に配置される、ステップと、
    前記第2の誘電体層をくぼませて、前記複数の第2のS/Dコンタクトの前記側部部分を露出させるステップと、
    前記複数の底部誘電体層の上に複数の上部誘電体層を形成するステップであって、前記複数の上部誘電体層の各々は、それぞれの底部層の上に配置されて、前記それぞれの第2のS/Dコンタクトの前記上部部分及び前記側部部分を覆う、ステップと、
    を含む、請求項15に記載の方法。
  17. 更に、
    複数のゲート構造を形成するステップを含み、
    前記複数のゲート構造は、前記第1のチャネル構造及び前記第2のチャネル構造を取り囲み、
    前記複数のゲート構造及び前記複数の第1のソース/ドレインは、複数の低Kスペーサーによって互いから離間され、交互に配置され、
    前記複数のゲート構造及び前記複数の第2のソース/ドレインは、前記複数の低Kスペーサーによって互いから離間され、交互に配置される、請求項12に記載の方法。
  18. 半導体デバイスであって、
    基板と、
    前記基板内に埋め込まれた複数のパワーレールと、
    階段状構成を形成するように前記複数のパワーレールの上に形成された複数の第1のソース/ドレインと、
    前記複数の第1のソース/ドレインの上に形成された複数の第1のソース/ドレイン(S/D)コンタクトであって、前記複数の第1のS/Dコンタクトの各々は、それぞれの第1のソース/ドレインの上に形成され、上部部分、底部部分及び側部部分を有するバー形状を有し、前記複数の第1のソース/ドレイン(S/D)コンタクトの各々の前記底部部分は、前記それぞれの第1のソース/ドレインを覆う、複数の第1のソース/ドレイン(S/D)コンタクトと、
    前記複数の第1のS/Dコンタクトの上に形成された複数の第1の誘電体キャップであって、前記複数の第1の誘電体キャップの各々は、それぞれの第1のS/Dコンタクトの上に配置されて、前記それぞれの第1のS/Dコンタクトを、隣接する第1のS/Dコンタクト、隣接するビア・トゥ・ドレイン構造、隣接する第1のビア・トゥ・レール構造及び隣接する第2のビア・トゥ・レール構造の少なくとも1つから分離するように、前記それぞれの第1のS/Dコンタクトの前記上部部分及び前記側部部分の少なくとも一部を覆う、複数の第1の誘電体キャップと、
    階段状構成を形成するように、前記複数の第1のソース/ドレインの上に形成された複数の第2のソース/ドレインと、
    前記複数の第2のソース/ドレインの上に形成された複数の第2のソース/ドレイン(S/D)コンタクトであって、前記複数の第2のS/Dコンタクトの各々は、それぞれの第2のソース/ドレインの上に形成され、上部部分、底部部分及び側部部分を有するバー形状を有し、前記複数の第2のソース/ドレイン(S/D)コンタクトの各々の前記底部部分は、前記それぞれの第2のソース/ドレインを覆い、前記複数の第2のS/Dコンタクトは、前記複数の第1のS/Dコンタクトの上に互い違いに配置されて階段状構成を形成する、複数の第2のソース/ドレイン(S/D)コンタクトと、
    前記複数の第2のS/Dコンタクトの上に形成された複数の第2の誘電体キャップであって、前記複数の第2の誘電体キャップの各々は、それぞれの第2のS/Dコンタクトの上に配置されて、前記それぞれの第2のS/Dコンタクトを、隣接する第2のS/Dコンタクト、隣接するビア・トゥ・ドレイン構造及び隣接する第2のビア・トゥ・レール構造の少なくとも1つから分離するように、前記それぞれの第2のS/Dコンタクトの前記上部部分及び前記側部部分の少なくとも一部を覆う、複数の第2の誘電体キャップと、
    を含み、
    前記複数の第1のS/Dコンタクトの少なくとも1つは、第1のビア・トゥ・レール構造を通して前記複数のパワーレールの第1のパワーレールに接続され、前記複数の第2のS/Dコンタクトの少なくとも1つは、第2のビア・トゥ・レール構造を通して前記複数のパワーレールの第2のパワーレールに接続される、半導体デバイス。
  19. 更に、
    複数のビア・トゥ・ドレイン構造であって、前記複数の第1のS/Dコンタクト及び前記複数の第2のS/Dコンタクトは、階段状であり、前記複数のビア・トゥ・ドレイン構造の少なくとも1つは、前記第1の誘電体キャップの1つを通過して前記複数の第1のS/Dコンタクトの1つに接続し、前記複数のビア・トゥ・ドレイン構造の少なくとも別の1つは、前記第2の誘電体キャップを通過して前記複数の第2のS/Dコンタクトの1つに接続する、複数のビア・トゥ・ドレイン構造と、
    複数の金属線であって、前記複数のビア・トゥ・ドレイン構造と電気的に結合される、複数の金属線と
    を含む、請求項18に記載の半導体デバイス。
  20. 更に、
    前記パワーレールの一つの長さ方向に沿って形成された第1のチャネル構造と、
    前記パワーレールの前記一つの前記長さ方向に沿って形成された第2のチャネル構造と、
    複数のゲート構造と、
    を含み、
    前記複数のゲート構造は、前記第1のチャネル構造及び前記第2のチャネル構造を取り囲み、
    前記複数の第1のソース/ドレインは、前記第1のチャネル構造を取り囲み、
    前記複数の第2のソース/ドレインは、前記第2のチャネル構造を取り囲み、
    前記複数のゲート構造及び前記複数の第1のソース/ドレインは、複数の低Kスペーサーによって互いから離間され、交互に配置され、
    前記複数のゲート構造及び前記複数の第2のソース/ドレインは、前記複数の低Kスペーサーによって互いから離間され、交互に配置される、請求項18に記載の半導体デバイス。
JP2020547388A 2018-03-19 2019-03-19 3次元デバイス及びそれを形成する方法 Active JP7074968B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862645102P 2018-03-19 2018-03-19
US62/645,102 2018-03-19
PCT/US2019/022977 WO2019183099A1 (en) 2018-03-19 2019-03-19 Three-dimensional device and method of forming the same

Publications (2)

Publication Number Publication Date
JP2021524151A JP2021524151A (ja) 2021-09-09
JP7074968B2 true JP7074968B2 (ja) 2022-05-25

Family

ID=67905983

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020547388A Active JP7074968B2 (ja) 2018-03-19 2019-03-19 3次元デバイス及びそれを形成する方法

Country Status (6)

Country Link
US (1) US10770479B2 (ja)
JP (1) JP7074968B2 (ja)
KR (1) KR102449389B1 (ja)
CN (1) CN111699550B (ja)
TW (1) TWI800626B (ja)
WO (1) WO2019183099A1 (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10483166B1 (en) * 2018-06-26 2019-11-19 International Business Machines Corporation Vertically stacked transistors
KR102576212B1 (ko) * 2018-09-21 2023-09-07 삼성전자주식회사 반도체 장치
JPWO2021075353A1 (ja) * 2019-10-18 2021-04-22
US11735525B2 (en) * 2019-10-21 2023-08-22 Tokyo Electron Limited Power delivery network for CFET with buried power rails
DE102020125647A1 (de) 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit Komplementärfeldeffekttransistor des Typs mit vergrabenenen Logikleitern, Layout-Diagramm-Herstellungsverfahren und System dafür
US11362090B2 (en) * 2020-01-31 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having buried logic conductor type of complementary field effect transistor, method of generating layout diagram and system for same
US11450665B2 (en) * 2020-03-30 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with self-aligned backside power rail
CN113053820A (zh) 2020-03-30 2021-06-29 台湾积体电路制造股份有限公司 半导体结构和形成集成电路结构的方法
DE102020122151A1 (de) 2020-04-28 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11251308B2 (en) 2020-04-28 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11222831B2 (en) 2020-06-04 2022-01-11 Samsung Electronics Co., Ltd. Stacked integrated circuit devices
US11227922B2 (en) * 2020-06-18 2022-01-18 International Business Machines Corporation Sloped epitaxy buried contact
US11742247B2 (en) 2020-07-17 2023-08-29 Synopsys, Inc. Epitaxial growth of source and drain materials in a complementary field effect transistor (CFET)
US11915984B2 (en) * 2020-07-17 2024-02-27 Synopsys, Inc. Forming a wrap-around contact to connect a source or drain epitaxial growth of a complimentary field effect transistor (CFET) to a buried power rail (BPR) of the CFET
US11710634B2 (en) 2020-07-17 2023-07-25 Synopsys, Inc. Fabrication technique for forming ultra-high density integrated circuit components
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11637069B2 (en) * 2020-08-31 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with V2V rail and methods of making same
KR20220096442A (ko) * 2020-12-31 2022-07-07 삼성전자주식회사 반도체 장치
US11621332B2 (en) 2021-01-14 2023-04-04 International Business Machines Corporation Wraparound contact to a buried power rail
US20220336355A1 (en) * 2021-04-19 2022-10-20 Samsung Electronics Co., Ltd. Thermal budget enhanced buried power rail and method of manufacturing the same
US20220367461A1 (en) * 2021-05-13 2022-11-17 Tokyo Electron Limited Inverted cross-couple for top-tier fet for multi-tier gate-on-gate 3di
US20230047840A1 (en) * 2021-08-13 2023-02-16 Samsung Electronics Co., Ltd. Integrated circuit devices including a cross-coupled structure
US11804436B2 (en) 2021-09-03 2023-10-31 International Business Machines Corporation Self-aligned buried power rail cap for semiconductor devices
US11923363B2 (en) 2021-09-20 2024-03-05 International Business Machines Corporation Semiconductor structure having bottom isolation and enhanced carrier mobility
US11705504B2 (en) 2021-12-02 2023-07-18 International Business Machines Corporation Stacked nanosheet transistor with defect free channel

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005032839A (ja) 2003-07-08 2005-02-03 Toshiba Microelectronics Corp 半導体集積回路及びマスターチップ
JP2007013156A (ja) 2005-06-28 2007-01-18 Samsung Electronics Co Ltd フィンfetcmosとその製造方法及びそれを備えるメモリ素子
US20100295021A1 (en) 2009-05-21 2010-11-25 International Business Machines Corporation Single Gate Inverter Nanowire Mesh
JP2011091324A (ja) 2009-10-26 2011-05-06 Sony Corp 半導体装置及びその製造方法
JP2014505995A (ja) 2010-12-01 2014-03-06 インテル コーポレイション シリコン及びシリコンゲルマニウムのナノワイヤ構造
US20160211276A1 (en) 2015-01-20 2016-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Manufacturing Methods Thereof
US20160380052A1 (en) 2015-06-24 2016-12-29 Samsung Electronics Co., Ltd. Semiconductor device
US20170040321A1 (en) 2015-08-06 2017-02-09 Imec Vzw Gate-all-around nanowire device and method for manufacturing such a device
JP2018026565A (ja) 2016-08-10 2018-02-15 東京エレクトロン株式会社 半導体素子のための拡張領域

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004031385B4 (de) * 2004-06-29 2010-12-09 Qimonda Ag Verfahren zur Herstellung von Stegfeldeffekttransistoren in einer DRAM-Speicherzellenanordnung, Feldeffekttransistoren mit gekrümmtem Kanal und DRAM-Speicherzellenanordnung
TWI309076B (en) * 2006-09-26 2009-04-21 Promos Technologies Inc Semiconductor device and method for manufacturing the same
CN103999226B (zh) 2011-12-19 2017-02-15 英特尔公司 在栅绕式架构中的锗和iii‑v纳米线及纳米带的cmos实现
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
US9224810B2 (en) 2011-12-23 2015-12-29 Intel Corporation CMOS nanowire structure
US20130320411A1 (en) * 2012-06-05 2013-12-05 International Business Machines Corporation Borderless contacts for metal gates through selective cap deposition
US9595525B2 (en) 2014-02-10 2017-03-14 International Business Machines Corporation Semiconductor device including nanowire transistors with hybrid channels
US9362181B1 (en) * 2014-12-05 2016-06-07 Globalfoundries Inc. Methods of forming diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
US9941376B2 (en) * 2015-04-30 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US9627316B1 (en) * 2015-12-15 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor devices having interconnect structures and manufacturing method thereof
US9761726B1 (en) * 2016-04-27 2017-09-12 International Business Machines Corporation Vertical field effect transistor with undercut buried insulating layer to improve contact resistance
US9711608B1 (en) * 2016-06-03 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
TWI744358B (zh) 2016-07-19 2021-11-01 日商東京威力科創股份有限公司 三維半導體裝置及其製造方法
WO2018031528A1 (en) 2016-08-08 2018-02-15 Tokyo Electron Limited Three-dimensional semiconductor device and method of fabrication
US9647112B1 (en) * 2016-09-22 2017-05-09 International Business Machines Corporation Fabrication of strained vertical P-type field effect transistors by bottom condensation

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005032839A (ja) 2003-07-08 2005-02-03 Toshiba Microelectronics Corp 半導体集積回路及びマスターチップ
JP2007013156A (ja) 2005-06-28 2007-01-18 Samsung Electronics Co Ltd フィンfetcmosとその製造方法及びそれを備えるメモリ素子
US20100295021A1 (en) 2009-05-21 2010-11-25 International Business Machines Corporation Single Gate Inverter Nanowire Mesh
JP2011091324A (ja) 2009-10-26 2011-05-06 Sony Corp 半導体装置及びその製造方法
JP2014505995A (ja) 2010-12-01 2014-03-06 インテル コーポレイション シリコン及びシリコンゲルマニウムのナノワイヤ構造
US20160211276A1 (en) 2015-01-20 2016-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Manufacturing Methods Thereof
US20160380052A1 (en) 2015-06-24 2016-12-29 Samsung Electronics Co., Ltd. Semiconductor device
US20170040321A1 (en) 2015-08-06 2017-02-09 Imec Vzw Gate-all-around nanowire device and method for manufacturing such a device
JP2018026565A (ja) 2016-08-10 2018-02-15 東京エレクトロン株式会社 半導体素子のための拡張領域

Also Published As

Publication number Publication date
CN111699550A (zh) 2020-09-22
WO2019183099A1 (en) 2019-09-26
KR102449389B1 (ko) 2022-09-29
CN111699550B (zh) 2023-05-09
US20190288004A1 (en) 2019-09-19
TWI800626B (zh) 2023-05-01
KR20200121811A (ko) 2020-10-26
US10770479B2 (en) 2020-09-08
TW201946250A (zh) 2019-12-01
JP2021524151A (ja) 2021-09-09

Similar Documents

Publication Publication Date Title
JP7074968B2 (ja) 3次元デバイス及びそれを形成する方法
JP6865864B2 (ja) 埋め込み型電力レール
TWI744358B (zh) 三維半導體裝置及其製造方法
JP7486058B2 (ja) 後面電力供給における交換用埋設電力レール
TWI677922B (zh) 形成用於電晶體裝置之閘極接觸結構及交叉耦合接觸結構的方法
JP2021508414A (ja) 積層ゲートを有する半導体装置及びその製造方法
TWI688020B (zh) 形成導電接觸結構至半導體裝置之方法及所產生的結構
TWI636498B (zh) 藉由功函數材料層凹陷形成自對準接觸結構之方法及其產生裝置
TWI755724B (zh) 金屬化疊層及其製造方法及包括金屬化疊層的電子設備
US20230005839A1 (en) Metalized laminate and manufacturing method therefor, and electronic device comprising metalized laminate
CN109300780B (zh) 形成栅极接触点的导电间隔物的方法以及所得装置
TW202232581A (zh) 用於增強裝置效能的三維金屬線之設計方法
TW202141745A (zh) 在3d cmos之間形成連續通道的方法
US11626329B2 (en) Metal connections and routing for advanced 3D layout designs
US11646318B2 (en) Connections from buried interconnects to device terminals in multiple stacked devices structures

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201020

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201020

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220301

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220315

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20220331

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220331

R150 Certificate of patent or registration of utility model

Ref document number: 7074968

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150