TWI674329B - 基板處理裝置 - Google Patents

基板處理裝置 Download PDF

Info

Publication number
TWI674329B
TWI674329B TW104125943A TW104125943A TWI674329B TW I674329 B TWI674329 B TW I674329B TW 104125943 A TW104125943 A TW 104125943A TW 104125943 A TW104125943 A TW 104125943A TW I674329 B TWI674329 B TW I674329B
Authority
TW
Taiwan
Prior art keywords
valve
opening
gas
gas supply
control unit
Prior art date
Application number
TW104125943A
Other languages
English (en)
Other versions
TW201612357A (en
Inventor
廣瀬勝人
宮澤俊男
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201612357A publication Critical patent/TW201612357A/zh
Application granted granted Critical
Publication of TWI674329B publication Critical patent/TWI674329B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明的課題是可短時間進行被使用在被處理體的處理之氣體的切換,且可控制被處理體的附近之氣體的分佈。
其解決手段係處理裝置具備:複數的第1氣體供給路,其係將被使用於被處理體的處理之複數的氣體予以供給至處理容器內;第2氣體供給路,其係將被使用於被處理體的處理之氣體予以供給至處理容器內;複數的第1閥V57,V47,其係進行複數的第1氣體供給路的開閉;第2閥V77,其係進行第2氣體供給路的開閉;及控制部,其係控制第1閥V57,V47及第2閥V77的開閉動作。
控制部係以開期間不會彼此重疊的方式,控制第1閥V57,V47的開閉動作,且以第2閥V77的開期間會與1個的第1閥V47的開期間具有預定的時間上的關係而重疊的方式,控制第2閥V77的開閉動作。

Description

基板處理裝置
本發明是有關對於半導體晶圓等的被處理體供給複數的氣體來進行成膜處理等的處理裝置。
在半導體裝置的製造過程,對半導體晶圓等的被處理體重複進行成膜處理、蝕刻處理、熱處理、改質處理等的各種的處理。作為在半導體晶圓的表面形成薄膜的成膜處理,有在成膜裝置的處理容器內配置半導體晶圓,在處理容器內導入含原料氣體的氣體而使反應生成物產生,使該反應生成物的薄膜堆積於半導體晶圓的表面之CVD(Chemical Vapor Deposition)法的成膜處理為人所知。
並且,近年來,將原料氣體及反應氣體交替供給至處理容器內,一層一層形成原子水準或分子水準的厚度的薄膜之所謂ALD(Atomic Layer Deposition)法的成膜方法也為人所知。由於此ALD法是膜質良好,且可精度佳地控制膜厚,因此作為微細化進展的半導體裝置的製造手法受到注目。
在此,說明有關根據ALD法的TiN膜的成膜處理之一例。此例是藉由重複進行以下的(1)~(4)的一連串的工程來使TiN薄膜重複堆積,而形成所望的膜厚的TiN膜。
(1)往處理容器內供給原料氣體例如TiCl4氣體而使TiCl4附著於晶圓的表面。
(2)以N2氣體淨化處理容器內,藉此將殘留的原料氣體排除。
(3)往處理容器內供給反應氣體例如NH3氣體,使與附著於晶圓表面的上述TiCl4反應,而形成原子水準或分子水準的厚度的TiN薄膜。
(4)以N2氣體淨化處理容器內,藉此將殘留氣體排除。
根據ALD法的成膜處理是如在上述的TiN膜的成膜例所示般,需要短時間間歇性地進行含原料氣體的複數的氣體的供給及停止。就進行根據ALD法的成膜處理之成膜裝置的ALD裝置而言,氣體的供給及停止是控制部會根據氣體供給處方,將訊號傳送至設在氣體供給路(引導氣體至處理容器內)的電磁閥,藉由開閉此閥來進行。
根據ALD法的成膜處理的情況,相較於根據CVD法的成膜處理,對於處理容器的1個氣體的供給時間短。因此,為了在根據ALD法的成膜處理中形成面內均一性高的膜,被要求對於處理容器之1個的氣體的供給 開始後,短時間,在晶圓的附近,氣體的濃度的均一性會變高那樣的條件下,供給氣體。
記載有用以在根據ALD法的成膜處理中形成面內均一性高的膜之技術的文獻,例如有專利文獻1~3。
在專利文獻1是記載有具備本體部、氣體導入埠及區劃構件之氣體供給裝置,該本體部是形成用以使氣體從縮徑端側流通至擴徑端側之大概圓錐形狀的氣體通流空間,氣體導入埠是設在氣體通流空間的縮徑端側,用以將氣體導入至氣體通流空間,區劃構件是用以將氣體通流空間同心圓狀地區劃成隨著朝外側而末端擴大的程度變大。
在專利文獻2中是記載有設置了中央氣體吐出部及周圍氣體供給部的成膜裝置,該中央氣體吐出部是被配置在基板的中央部上方,該周圍氣體供給部是被配置成包圍中央氣體吐出部。
在專利文獻3中是記載有具備複數的氣體供給配管、複數的閥、複數的成膜室監視器、及控制部之原子層成膜裝置,該複數的氣體供給配管是用以將氣體(含用以在基板形成薄膜的原料)供給至成膜室,該複數的閥是分別設在複數的氣體供給配管,該複數的成膜室監視器是測定成膜室的狀態, 該控制部是根據複數的成膜室監視器的測定結果來個別地控制複數的閥的開度或開放時間。
[先行技術文獻] [專利文獻]
[專利文獻1]日本特開2009-239082號公報
[專利文獻2]日本特開2013-209722號公報
[專利文獻3]日本特開2009-228059號公報
若根據專利文獻1,2所記載的各技術,則可朝晶圓的表面,由彼此不同的複數的位置來使相同的氣體吐出,藉此可使氣體遍及晶圓表面的全域附近。
但,為了在根據ALD法的成膜處理中形成面內均一性高的膜,就專利文獻1,2記載的各技術而言,可謂不夠充分。以下,說明有關其理由。專利文獻1,2記載的各技術是經由1個氣體供給路的氣體會被分流而成為複數的分流氣體,此複數的分流氣體會從各彼此不同的複數的位置吐出。此技術在複數的分流氣體的吐出的期間是只藉由設在1個氣體供給路的1個閥來控制。
如前述般,為了在根據ALD法的成膜處理中形成面內均一性高的膜,被要求對於處理容器之1個的氣 體的供給開始後,短時間,在晶圓的附近,氣體的濃度的均一性會變高那樣的條件下,供給氣體。但,就專利文獻1,2記載的各技術而言,由於複數的分流氣體的吐出的期間只藉由設在1個氣體供給路的1個閥所控制,因此無法以符合上述的條件之方式仔細控制晶圓附近的氣體分佈。
並且,在專利文獻3中雖未被明確記載,但由專利文獻3記載的技術的主要內容來看,可想像專利文獻3記載的原子層成膜裝置是藉由複數的氣體供給配管來幾乎同時供給同樣的氣體至成膜室。為此,可想像此原子層成膜裝置是對於同樣複數的氣體供給配管,切換供給專利文獻3的段落0014所記載的原料氣體、氧化氣體、淨化氣體,藉此將該等的氣體切換供給至成膜室。由於此方法為了完全切換複數的氣體供給配管內的氣體,花費時間,因此供給至成膜室的氣體的切換費時,其結果會有成膜也費時的問題點。
本發明是有鑑於如此的情事而研發者,其目的是在於提供一種可短時間進行被使用在被處理體的處理之氣體的切換,且可控制被處理體的附近之氣體的分佈之處理裝置。
本發明的處理裝置係具備:處理容器,其係收容被處理體; 複數的第1氣體供給路,其係用以將被使用於被處理體的處理之除了淨化氣體以外的複數的氣體供給至處理容器內;第2氣體供給路,其係用以將被使用於被處理體的處理之除了淨化氣體以外的氣體供給至處理容器內;複數的第1閥,其係進行複數的第1氣體供給路的開閉;第2閥,其係進行第2氣體供給路的開閉;及控制部,其係控制複數的第1閥及第2閥的開閉動作。
複數的第1氣體供給路係具有1個以上的第1氣體吐出口。第2氣體供給路係具有被配置於與1個以上的第1氣體吐出口不同的位置之1個以上的第2氣體吐出口。複數的第1閥係包含第2閥追隨進行開閉動作的追隨對象閥。追隨對象閥進行開閉的1個第1氣體供給路及第2氣體供給路係對處理容器內供給同氣體者。
控制部係以複數的第1閥的開期間彼此不會重疊的方式,控制複數的第1閥的開閉動作,且以第2閥的開期間會與追隨對象閥的開期間具有預定的時間上的關係之方式,控制第2閥的開閉動作。
在本發明的處理裝置中,第2閥的開期間的至少一部分亦可與追隨對象閥的開期間重疊。
並且,在本發明的處理裝置中,1個以上的第2氣體吐出口亦可為被配置於1個以上的第1氣體吐出口 的周圍之複數的第2氣體吐出口。
並且,在本發明的處理裝置中,控制部亦可保持顯示第2閥的開期間相對於追隨對象閥的開期間之偏差的開期間偏差資訊,根據開期間偏差資訊,以追隨對象閥的開期間作為基準,決定第2閥的開期間。
並且,在本發明的處理裝置中,控制部亦可具備:上位控制部,其係輸出分別指示複數的第1閥的開閉動作之複數的第1指示訊號;及下位控制部,其係分別將上位控制部所輸出的複數的第1指示訊號給予複數的第1閥,而直接控制複數的第1閥的開閉動作。
此情況,下位控制部亦可包含:開期間偏差資訊保持部,其係保持顯示第2閥的開期間相對於追隨對象閥的開期間之偏差的開期間偏差資訊;及第2指示訊號生成部,其係根據複數的第1指示訊號之中指示追隨對象閥的開閉動作之第1指示訊號及開期間偏差資訊保持部所保持的開期間偏差資訊,來生成指示第2閥的開閉動作之第2指示訊號,且亦可依據第2指示訊號來控制第2閥的開閉動作。
又,上位控制部亦可輸出:顯示以複數的第1閥之中的哪個作為追隨對象閥的追隨對象閥指定資訊,下位控制部係亦可更包含:根據上位控制部所輸出的 追隨對象閥指定資訊,從複數的第1指示訊號之中,選擇指示追隨對象閥的開閉動作的第1指示訊號之選擇部。
又,本發明的處理裝置亦可為對於被處理體,以彼此不同的時機間歇性重複供給複數的氣體而進行成膜之ALD(Atomic Layer Deposition)裝置。
在本發明的處理裝置中,控制部是以複數的第1閥的開期間彼此不會重疊的方式,控制複數的第1閥的開閉動作,且以第2閥的開期間會與複數的第1閥之中的1個的追隨對象閥的開期間具有預定的時間上的關係之方式,控制第2閥的開閉動作。藉此,若根據本發明的處理裝置,則取得可短時間進行被使用於被處理體的處理之氣體的切換,且可控制被處理體的附近之氣體的分佈的效果。
1‧‧‧處理容器
10‧‧‧處理部
20‧‧‧氣體供給部
30,40,50,60,70‧‧‧氣體供給源
31,41,51,61,71‧‧‧配管
37,47,57,67,77‧‧‧腔室閥
37a,47a,57a,67a,77a‧‧‧螺線管
80‧‧‧集合閥單元
100‧‧‧成膜裝置
200‧‧‧氣體供給控制部
300‧‧‧控制裝置
301‧‧‧EC
401‧‧‧模組控制器(MC)
413‧‧‧I/O模組
415‧‧‧I/O板
419‧‧‧選擇部
424‧‧‧第2指示訊號生成部
425‧‧‧例外判定部
426‧‧‧開時間差保持部
427‧‧‧開時機生成部
428‧‧‧閉時間差保持部
429‧‧‧閉時機生成部
圖1是表示本發明之一實施形態的處理裝置的概略構成的說明圖。
圖2是表示圖1所示的頂部構件及氣體導入部的立體圖。
圖3是表示圖1所示的集合閥單元的說明圖。
圖4是表示包含本發明之一實施形態的處理裝置的基 板處理系統的控制系統的概略構成的說明圖。
圖5是表示圖3及圖4所示的模組控制器的概略構成的說明圖。
圖6是表示圖3及圖4所示的I/O板的構成的說明圖。
圖7是表示本發明之一實施形態的3個閥的動作之一例的時間圖。
圖8是表示本發明之一實施形態的3個閥的動作的其他例的時間圖。
以下,參照圖面詳細說明有關本發明的實施形態。
<成膜裝置的構成例>
首先,參照圖1~圖3來說明有關本發明之一實施形態的處理裝置。圖1是表示本實施形態的處理裝置的概略構成的說明圖。圖2是表示圖1所示的頂部構件及氣體導入部的立體圖。圖3是表示圖1所示的集合閥單元的說明圖。在圖1中顯示作為本實施形態的處理裝置的成膜裝置100。成膜裝置100是構成為對於作為被處理體的半導體晶圓(以下簡稱「晶圓」)W,以彼此不同的時機間歇性重複供給複數的氣體來進行成膜之ALD(Atomic Layer Deposition)裝置。此成膜裝置100是具備:處理部10、及 供給被使用於晶圓W的處理的複數的氣體之氣體供給部20、及設在處理部10與氣體供給部20之間的集合閥單元80、及進行成膜裝置100的複數的構成部的控制之控制部。
處理部10是具有收容晶圓W的處理容器1。如圖1所示般,處理容器1是包含互相連結的下部2及上部3,形成大致圓筒狀。並且,處理容器1是構成氣密。處理容器1是包含形成於處理容器1的下部2的排氣口2a及搬出入口2b。處理部10是更具有:排氣裝置17、及連接排氣口11d與排氣裝置17的排氣管18、及開閉搬出入口2b與未圖示的搬送室之間的閘閥19。排氣裝置17及排氣管18是構成可藉由使排氣裝置17作動來將處理容器1內減壓至預定的真空度。晶圓W的搬出入是經由搬出入口2b及閘閥19來進行。
處理部10是更具有:在處理容器1之中用以水平支撐晶圓W的基座15、及支撐基座15之圓筒狀的支撐構件16、及被埋入基座15之未圖示的加熱器。此加熱器是用以將晶圓W加熱至預定的溫度者。支撐構件16是被連接至設在處理容器1的外部之未圖示的昇降裝置。未圖示的昇降裝置是在進行晶圓W的交接的基座15的位置與比此位置更上方的位置對於晶圓W進行成膜處理的基座15的位置(以下稱為處理位置)之間使基座15上下昇降。在圖1中顯示使基座15上昇至處理位置時的例子。並且,支撐構件16是亦可被連接至設在處理容器1的外 部之未圖示的旋轉裝置。未圖示的旋轉裝置是藉由使支撐構件16旋轉來使被基座15所支撐的晶圓W旋轉於水平方向。藉由使晶圓W旋轉於水平方向,可在晶圓W的面內於周方向使成膜處理的均一性提升。
處理部10是更具有:在比基座15的外周部更外側的位置被固定於下部2的內周面的環狀構件4、及被固定於上部3的頂部構件5。如圖2所示般,頂部構件5是具有圓板狀的形狀。另外,圖2是省略頂部構件5的一部分描繪。並且,頂部構件5是具有:被形成於頂部構件5的基座15側的圓錐狀的凹部5a、及位於此凹部5a的外側的平坦部5b。基座15位於處理位置時,藉由頂部構件5的凹部5a及基座15的上面所包圍的空間是成為對於晶圓W進行成膜處理的處理空間9。
處理部10是更具有設在頂部構件5的凹部5a的中央部的氣體導入部6。如圖2所示般,氣體導入部6是具有:將後述的第1反應氣體及第1淨化氣體吐出至處理空間9內的複數的氣體吐出口6a、及將後述的原料氣體及第2淨化氣體吐出至處理空間9內的複數的氣體吐出口6b。複數的氣體吐出口6a及複數的氣體吐出口6b是對應於本發明的「1個以上的第1氣體吐出口」。
處理部10是更具有:在比氣體導入部6更外側的位置被設於頂部構件5的內部的環狀的緩衝部7、及被連接至緩衝部7的複數的氣體流路8。複數的氣體流路8是設在緩衝部7與處理空間9之間。並且,複數的氣體 流路8是具有將後述的第2反應氣體吐出至處理空間9內的複數的氣體吐出口8a。複數的氣體吐出口8a是被配置於與複數的氣體吐出口6a及複數的氣體吐出口6b不同的位置。具體而言,複數的氣體吐出口8a是被配置於複數的氣體吐出口6a及複數的氣體吐出口6b的周圍的位置,比頂部構件5的凹部5a的複數的氣體吐出口6a及複數的氣體吐出口6b更外側的位置。更具體而言,在頂部構件5中,複數的氣體吐出口6a會被設在與被支撐構件16所支撐的晶圓W的中央部對向的位置,相對的,複數的氣體吐出口8a是被設在與被支撐構件16所支撐的晶圓W的邊緣附近的周緣對向的位置。亦即,複數的氣體吐出口6a及複數的氣體吐出口8a是在被支撐構件16所支撐的晶圓W的直徑方向,被配設於彼此隔著晶圓W的半徑程度的距離而分離的位置。並且,複數的氣體吐出口8a是彼此隔開預定的間隔來配置成環狀。複數的氣體吐出口8a是對應於本發明的「1個以上的第2氣體吐出口」。另外,「1個以上的第2氣體吐出口」是亦可在頂部構件5中,設在與晶圓W的周緣部對向的位置以外的位置。例如,「1個以上的第2氣體吐出口」是亦可設在與晶圓W的中央部和周緣部之間的中間部對向的位置。又,「1個以上的第2氣體吐出口」是亦可在頂部構件5中,相對於被支撐構件16所支撐的晶圓W的直徑方向,設在複數的位置。
處理部10是更具有被連接至氣體導入部6的 2個氣體流路14A,14B、及被連接至緩衝部7的氣體流路14C。氣體導入部6是包含:設在氣體流路14A與複數的氣體吐出口6a之間的第1分流路、及設在氣體流路14B與複數的氣體吐出口6b之間的第2分流路。
氣體供給部20是具有:供給第1淨化氣體的第1淨化氣體供給源30、及供給第1反應氣體的第1反應氣體供給源40、及供給原料氣體的原料氣體供給源50、及供給第2淨化氣體的第2淨化氣體供給源60、及供給第2反應氣體的第2反應氣體供給源70。在圖1及圖3中是顯示在晶圓W的表面藉由ALD法來形成TiN膜時的氣體供給部20的構成,作為一例。此例是原料氣體為TiCl4氣體,第1反應氣體及第2反應氣體同樣是NH3氣體。並且,第1淨化氣體與第2淨化氣體同樣是N2氣體。原料氣體供給源50是具有使液體的TiCl4氣化之未圖示的氣化器。
集合閥單元80是具有配管31,41,51,61,71,13A,13B,13C。配管31的一端是被連接至第1淨化氣體供給源30。配管41的一端是被連接至第1反應氣體供給源40。配管31,41的各個的另一端是被連接至配管13A的一端。配管13A的另一端是被連接至氣體流路14A。
配管51的一端是被連接至原料氣體供給源50。配管61的一端是被連接至第2淨化氣體供給源60。配管51,61的各個的另一端是被連接至配管13B的一 端。配管13B的另一端是被連接至氣體流路14B。
配管71的一端是被連接至第2反應氣體供給源70。配管71的另一端是被連接至配管13C的一端。配管13C的另一端是被連接至氣體流路14C。
配管31,13A、氣體流路14A及氣體導入部6的第1分流路是構成用以將第1淨化氣體(N2氣體)供給至處理容器1內的第1淨化氣體供給路。配管41,13A、氣體流路14A及氣體導入部6的第1分流路是構成用以將第1反應氣體(NH3氣體)供給至處理容器1內的第1反應氣體供給路。配管51,13B、氣體流路14B及氣體導入部6的第2分流路是構成用以將原料氣體(TiCl4氣體)供給至處理容器1內的原料氣體供給路。配管61,13B、氣體流路14B及氣體導入部6的第2分流路是構成用以將第2淨化氣體(N2氣體)供給至處理容器1內的第2淨化氣體供給路。配管71,13C、氣體流路14C、緩衝部7及複數的氣體流路8是構成用以將第2反應氣體(NH3氣體)供給至處理容器1內的第2反應氣體供給路。
第1反應氣體供給路及原料氣體供給路是用以將使用於晶圓W的處理之除了淨化氣體(N2氣體)以外的複數的氣體供給至處理容器1內的氣體供給路,對應於本發明的「複數的第1氣體供給路」。第2反應氣體供給路是用以將使用於晶圓W的處理之除了淨化氣體(N2氣體)以外的氣體供給至處理容器1內的氣體供給路,對應於本發明的「第2氣體供給路」。另外,所謂「被使用於 晶圓W的處理之除了淨化氣體以外的(複數的)氣體」是意指被使用於晶圓W的實質性的處理(在本實施形態是成膜處理)的氣體。
對應於本發明的「複數的第1氣體供給路」之第1反應氣體供給路及原料氣體供給路是具有對應於本發明的「1個以上的第1氣體吐出口」之複數的氣體吐出口6a及複數的氣體吐出口6b。對應於本發明的「第2氣體供給路」之第2反應氣體供給路是具有對應於本發明的「1個以上的第2氣體吐出口」之複數的氣體吐出口8a。
集合閥單元80是更具有閥33,43,53,63,73、及流量控制用的質量流控制器(以下記載為MFC)35,45,55,65,75、及腔室閥37,47,57,67,77。在配管31中,閥33、MFC35及腔室閥37會從第1淨化氣體供給源30側依序設置。在配管41中,閥43、MFC45及腔室閥47會從第1反應氣體供給源40側依序設置。在配管51中,閥53、MFC55及腔室閥57會從原料氣體供給源50側依序設置。在配管61中,閥63、MFC65及腔室閥67會從第2淨化氣體供給源60側依序設置。在配管71中,閥73、MFC75及腔室閥77會從第2反應氣體供給源70側依序設置。
集合閥單元80是更具有:在配管41中設在MFC45與腔室閥47之間的緩衝槽48;附設在緩衝槽48,計測緩衝槽48的內部的壓力之壓 力計48A;在配管51中設在MFC55與腔室閥57之間的緩衝槽58;附設在緩衝槽58,計測緩衝槽58的內部的壓力之壓力計58A;在配管71中設在MFC75與腔室閥77之間的緩衝槽78;及附設在緩衝槽78,計測緩衝槽78的內部的壓力之壓力計78A。
另外,在圖1中省略緩衝槽48,58,78及壓力計48A,58A、78A的圖示。
腔室閥37,47,57,67,77是分別設在配管31,41,51,61,71的複數的構成部之中,設在最接近處理容器1的位置的構成部。腔室閥37,67是分別進行第1及第2淨化氣體供給路(配管31,61)的開閉之閥。腔室閥47,77是分別進行第1及第2反應氣體供給路(配管41,71)的開閉之閥。腔室閥57是進行原料氣體供給路(配管51)的開閉之閥。藉由開放腔室閥37,47,57,67,77,進行往處理容器1內之各氣體的導入,藉由關閉腔室閥37,47,57,67,77,停止往處理容器1內之各氣體的導入。腔室閥47,57是對應於本發明的「複數的第1閥」。腔室閥77是對應於本發明的「第2閥」。
腔室閥37,47,57,67,77是皆為可高速開閉的電磁閥(螺線管閥)。腔室閥37,47,57,67,77是 分別包含作為閥驅動部的螺線管37a,47a,57a,67a,77a。另外,在圖3中,基於說明方便起見,將螺線管37a,47a,57a,67a,77a與腔室閥37,47,57,67,77另外描繪。
集合閥單元80是更具有分別作為腔室閥37,47,57,67,77的感測器部使用的5個腔室閥感測器(以下記載為CV感測器)39,49,59,69,79。CV感測器39,49,59,69,79是分別檢測出藉由螺線管37a,47a,57a,67a,77a來驅動的各腔室閥37,47,57,67,77的開動作及閉動作。另外,在圖1中省略CV感測器39,49,59,69,79的圖示。
另外,氣體供給部20是亦可更具有供給用以洗滌處理容器1內的洗滌氣體之其他的氣體供給源。此情況,集合閥單元80是亦可更具有連接此其他的氣體供給源與配管13A、配管13B或配管13C的一端之配管、及設在此配管的閥、MFC及腔室閥等。
<控制系統的構成例>
其次,參照圖3~圖5來說明有關包含成膜裝置100的基板處理系統的控制系統的概要。圖4是表示包含成膜裝置100的基板處理系統的控制系統的概略構成的說明圖。圖5是表示圖3及圖4所示的模組控制器的概略構成的說明圖。
圖4是表示進行包含成膜裝置100的基板處 理系統(未圖示)之全體的控制、或進行基板處理系統內的成膜裝置100等的複數的處理裝置的各者之控制之控制系統300。控制系統300是包含成膜裝置100所具備的控制部。以下,將藉由控制系統300所控制的各構成部稱為末端裝置201。圖1所示的成膜裝置100的末端裝置201是可舉腔室閥37,47,57,67,77(螺線管37a,47a,57a,67a,77a)、MFC35,45,55,65,75、CV感測器39,49,59,69,79、排氣裝置17等。
如圖4所示般,控制系統300是具備:控制基板處理系統全體的統括控制部之EC(Equipment Controller)301、及複數的模組控制器(Module Controller;以下記載為MC)401、及被連接至EC301的使用者介面501、及連接EC301與各MC401的系統內LAN(Local Area Network)503。複數的MC401是進行基板處理系統內的成膜裝置100等的複數的處理裝置的各者之控制者。
另外,在圖4中顯示控制系統300之中,有關成膜裝置100的控制之部分。圖4所示的MC401是控制系統300所具備的複數的MC401之中的成膜裝置100用的MC401。成膜裝置100所具備的控制部是包含此成膜裝置100用的MC401。成膜裝置100是如後述般,控制成可藉由成膜裝置100用的MC401,在處理容器1內進行預定的處理。
使用者介面501是具有:工程管理者為了管 理基板處理系統而進行指令的輸入操作等的鍵盤、或使基板處理系統的運轉狀況可視化而顯示的顯示器、及機械開關等。
系統內LAN503是具有交換器(HUB)505。此交換器(switching hub)505是按照來自EC301的控制訊號,切換被連接至EC301的MC401。
(EC)
如圖4所示般,EC301是構成具有CPU(中央運算裝置)303、及作為揮發性記憶體的RAM305、以及作為記憶部的硬碟裝置(在圖4是記載為HDD)307,對於電腦可讀取的記憶媒體(以下簡稱為記憶媒體)507記錄資訊,且可由記憶媒體507來讀取資訊。有關基板處理系統的控制程式或晶圓W的處理方法的處方是例如可藉由將被儲存於記憶媒體507的狀態者安裝於硬碟裝置307來利用。記憶媒體507是例如可使用CD-ROM、硬碟、軟碟、快閃記憶體、DVD等。又,上述處方是亦可由其他的裝置,例如經由專用線路來使隨時傳送,線上利用。
在EC301中,CPU303是從硬碟裝置307或記憶媒體507讀出包含藉由使用者介面501依照工程管理者等所指定的處方之程式(軟體)。然後,讀出的程式從EC301傳送至各MC401。
又,EC301被連接至作為MES(Manufacturing Execution System)的主電腦603,該MES是經由LAN601 來管理設置有基板處理系統的工廠全體的製造工程。主電腦603是與控制系統300合作,將有關工廠的各種工程的即時資訊反餽給基幹業務系統(未圖示)的同時,考慮工廠全體的負荷等進行有關工程的判斷。
(MC)
複數的MC401是藉由EC301來統括控制。另外,MC401是不僅基板處理系統內的複數的處理裝置,亦可使對應於裝載鎖定室或裝載機單元來設置,該等亦藉由EC301來統括控制。
以下,以成膜裝置100用的MC401為例,說明有關MC401的構成。如圖5所示般,MC401是具有:CPU403、及藉由RAM等所構成的揮發性記憶部405、及作為I/O(輸出入)資訊記憶部使用的非揮發性記憶部407、以及I/O控制部409。非揮發性記憶部407是例如藉由SRAM、MRAM、EEPROM、快閃記憶體等的非揮發性記憶體所構成。在非揮發性記憶部407中保存有成膜裝置100的各種履歴資訊,例如被埋入基座15的加熱器的更換時間、或排氣裝置17的運轉時間等。又,非揮發性記憶部407亦具有作為I/O資訊記憶部的機能。如後述般,MC401是構成可隨時將在MC401與各末端裝置201之間交換的各種I/O資訊(特別是後述的數位.輸出資訊DO及類比.輸出資訊AO)寫入保持於非揮發性記憶部407。
(I/O模組)
控制系統300是更具備:對應於各MC401的1個以上的I/O模組413、及連接各MC401與對應的1個以上的I/O模組413之網路411。在圖4中是顯示對應於成膜裝置100用的MC401之複數的I/O模組413。網路411是具有按每個I/O模組413分配的複數的通道CH0,CH1,CH2,...。成膜裝置100所具備的控制部是除了成膜裝置100用的MC401以外,含對應於成膜裝置100用的MC401的1個以上的I/O模組413。
對應於成膜裝置100用的MC401的1個以上的I/O模組413是進行往構成成膜裝置100的各末端裝置201的控制訊號及來自末端裝置201的輸入訊號的傳達。MC401的I/O控制部409是對I/O模組413送出各種的控制訊號,或從I/O模組413接受有關各末端裝置201的狀態資訊等的訊號。根據MC401之各末端裝置201的控制是經由I/O模組413來進行。
(I/O板)
1個I/O模組413是具有1個以上的I/O板415。I/O板415是在MC401的支配下動作,直接控制各末端裝置201的下位的控制單元。I/O模組413的數位訊號、類比訊號及序列訊號的輸出入的控制是在1個以上的I/O板415中進行。1個的I/O板415是連接1個以上的末端裝置201。本實施形態是如圖4所示般,在1個的I/O板 415連接複數的末端裝置201之螺線管37a,47a,57a,67a,77a。
在1個的I/O板415中所被輸出入的輸出入資訊是包含數位.輸入資訊DI、數位.輸出資訊DO、類比.輸入資訊AI、類比.輸出資訊AO的4種之中的1種以上。數位.輸入資訊DI是包含從位於控制系統的下位的各末端裝置201往位於控制系統的上位的MC401輸入的數位資訊。數位.輸出資訊DO是包含從MC401往各末端裝置201輸出的數位資訊。類比.輸入資訊AI是包含從各末端裝置201往MC401輸入的類比性資訊。類比.輸出資訊AO是包含從MC401往各末端裝置201輸出的類比性資訊。另外,在此所言的類比性資訊是意指將具有以類比值顯現的性質之資訊予以數位化的資訊。
數位.輸入資訊DI及類比.輸入資訊AI是例如包含有關各末端裝置201的狀態的資訊。數位.輸出資訊DO及類比.輸出資訊AO是例如包含有關往各末端裝置201的製程條件等的值的設定或指令(指令)。數位資訊是例如各腔室閥37,47,57,67,77(螺線管37a,47a,57a,67a,77a)的開閉、排氣裝置17的ON/OFF或排氣系統的閥(未圖示)的開閉等的資訊。又,類比性資訊是例如基座15的加熱器(未圖示)的設定溫度、MFC35,45,55,65,75的設定流量等的資訊。
在1個的I/O板415中所被輸出入的輸出入資訊是可包含被分類成上述4種的資訊DI,DO,AI,AO 的任一種的複數的資訊。上述輸出入資訊是包含位址部及資料部。資料部是例如以16位元所構成。此情況,資料部是可包含最大16位元的數位資訊、或例如以16進位表示時以0000~FFFF的範圍內的數值顯現的類比性資訊。位址部是包含用以特定通道的通道號碼、及用以特定I/O板415的節點號碼、以及對應於資料部中所儲存的資訊的內容的種類之I/O位址。因此,資料部中所儲存的資訊可根據位址部的內容來特定哪個通道、哪個I/O板415、哪個內容的種類的資訊。
I/O板415是例如藉由可編程邏輯裝置來實現,該可編程邏輯裝置是藉由韌體來設定構成。可編程邏輯裝置是例如可使用FPGA(Field Programmable Gate Array)。
<控制部的構成例>
其次,參照圖5及圖6來說明有關成膜裝置100所具備的控制部之中,有關腔室閥37,47,57,67,77的開閉動作的控制之部分(以下稱為氣體供給控制部200)。圖6是表示I/O板415的構成的說明圖。氣體供給控制部200是藉由圖5所示的成膜裝置100用的MC401、及圖6所示的I/O板415來實現,控制腔室閥37,47,57,67,77的開閉動作。氣體供給控制部200是對應於本發明的「控制部」。
氣體供給控制部200是如以下般,控制對應 於本發明的「複數的第1閥」之腔室閥47,57的開閉動作、及對應於本發明的「第2閥」之腔室閥77的開閉動作。亦即,氣體供給控制部200是以腔室閥47,57的開期間不會重疊的方式,控制腔室閥47,57的開閉動作。並且,氣體供給控制部200是以腔室閥77的開期間的至少一部分會與複數的第1閥之中的1個的追隨對象閥的開期間具有預定的時間上的關係而重疊的方式,控制腔室閥77的開閉動作。以下,說明有關腔室閥47為追隨對象閥時的例子。此情況,氣體供給控制部200是以腔室閥77的開期間的至少一部分會與腔室閥47的開期間具有預定的時間上的關係而重疊的方式,控制腔室閥77的開閉動作。有關上述預定的時間上的關係是之後詳細說明。
以下,更詳細說明有關氣體供給控制部200。氣體供給控制部200是具備上位控制部及下位控制部。在本實施形態中,上位控制部是藉由MC401來實現。並且,下位控制部是藉由I/O板415來實現。
上位控制部(MC401)及下位控制部(I/O板415)是如以下般控制對應於本發明的「複數的第1閥」之腔室閥47,57的開閉動作。亦即,上位控制部是輸出包含2個第1指示訊號的2個數位.輸出資訊(以下稱為指示資訊)V2DO,V3DO,該2個第1指示訊號是分別指示腔室閥47,57的開閉動作。下位控制部是分別將上位控制部所輸出之包含2個指示資訊V2DO,V3DO的2個第1指示訊號給予腔室閥47,57而直接控制腔室閥47,57的開 閉動作。
同樣,上位控制部(MC401)及下位控制部(I/O板415)是如以下般控制腔室閥37,67的開閉動作。亦即,上位控制部是輸出包含2個指示訊號的2個指示資訊V1DO,V4DO,該2個指示訊號是分別指示腔室閥37,67的開閉動作。下位控制部是分別將上位控制部所輸出之含有2個指示資訊V1DO,V4DO的2個指示訊號給予腔室閥37,67而直接控制腔室閥37,67的開閉動作。
指示資訊V1DO~V4DO所含的4個指示訊號是分別從下位控制部(I/O板415)經由光耦合器81,82,83,84來傳達至腔室閥37,47,57,67的螺線管37a,47a,57a,67a。光耦合器81~84是亦可設在I/O模組413(參照圖4),或設在I/O板415。
並且,上位控制部(MC401)及下位控制部(I/O板415)是如以下般,控制對應於本發明的「第2閥」之腔室閥77的開閉動作。亦即,上位控制部是輸出:顯示以複數的第1閥之中的哪個作為追隨對象閥的追隨對象閥指定資訊SLDO。當腔室閥47為追隨對象閥時,上位控制部是輸出:顯示以腔室閥47作為追隨對象閥的追隨對象閥指定資訊SLDO。
下位控制部是包含選擇部419。選擇部419是根據上位控制部所輸出的追隨對象閥指定資訊SLDO來從被輸入至下位控制部之指示複數的第1閥的開閉動作之複數的第1指示訊號之中選擇指示追隨對象閥的開閉動作之 第1指示訊號。當腔室閥47為追隨對象閥時,選擇部419是從指示資訊V2DO,V3DO所含之指示腔室閥47,57的開閉動作的2個第1指示訊號之中選擇指示腔室閥47的開閉動作之第1指示訊號。另外,在圖6所示的例子,選擇部419是構成可從上述2個的第1指示訊號、及指示資訊V1DO,V4DO所含之指示腔室閥37,67的開閉動作的2個的指示訊號,合計4個的指示訊號之中選擇1個的指示訊號。
又,上位控制部是輸出開時間差資訊OPDO及閉時間差資訊CLDO。開時間差資訊OPDO是顯示開時間差,該開時間差是表示腔室閥77的開動作的時機相對於追隨對象閥的腔室閥47的開動作的時機之偏差。閉時間差資訊CLDO是顯示閉時間差,該閉時間差是表示腔室閥77的閉動作的時機相對於追隨對象閥的腔室閥47的閉動作的時機之偏差。顯示腔室閥77的開期間相對於腔室閥47的開期間之偏差的開期間偏差資訊是包含上述開時間差及閉時間差。
下位控制部是更包含:保持開時間差資訊OPDO所示的開時間差之開時間差保持部426、及保持閉時間差資訊CLDO所示的閉時間差之閉時間差保持部428。本發明的「開期間偏差資訊保持部」是藉由開時間差保持部426及閉時間差保持部428所構成。
下位控制部是更包含開時機生成部427及閉時機生成部429。在開時機生成部427及閉時機生成部 429中是被輸入藉由選擇部419所選擇的第1指示訊號。在開時機生成部427中是更被輸入開時間差保持部426所保持的開時間差。在本實施形態中,開時機生成部427是根據指示腔室閥47的開閉動作的第1指示訊號之中指示腔室閥47的開動作的部分、及開時間差來生成指定腔室閥77的開動作的時機之開時機指定訊號。此開時機指定訊號是指定相對於腔室閥47的開動作的時機只偏移開時間差之腔室閥77的開動作的時機。
並且,在閉時機生成部429中是更被輸入閉時間差保持部428所保持的閉時間差。在本實施形態中,閉時機生成部429是根據指示腔室閥47的開閉動作的第1指示訊號之中,指示腔室閥47的閉動作的部分、及閉時間差來生成指定腔室閥77的閉動作的時機之閉時機指定訊號。此閉時機指定訊號是指定相對於腔室閥47的閉動作的時機只偏移閉時間差之腔室閥77的閉動作的時機。
下位控制部是更包含生成第2指示訊號的第2指示訊號生成部424,該第2指示訊號是指示腔室閥77的開閉動作。在第2指示訊號生成部424中是被輸入藉由開時機生成部427所生成的開時機指定訊號、及藉由閉時機生成部429所生成的閉時機指定訊號。第2指示訊號生成部424是以腔室閥77能夠進行依據開時機指定訊號所指定的時機的開動作及依據閉時機指定訊號所指定的時機的閉動作之方式,生成第2指示訊號。
第2指示訊號是從下位控制部(I/O板415)經由光耦合器85來傳達至腔室閥77的螺線管77a。依據如此被傳達的第2指示訊號來控制腔室閥77的開閉動作。光耦合器85是與光耦合器81~84同樣,亦可設在I/O模組413(參照圖4),或設在I/O板415。
並且,在第2指示訊號生成部424中更被輸入藉由選擇部419所選擇的第1指示訊號。第2指示訊號生成部424是包含例外判定部425。有關例外判定部425的動作是在之後詳細說明。
<根據ALD法的成膜處理>
其次,說明有關藉由圖1所示的成膜裝置100來實行的ALD法的成膜處理。在根據ALD法的成膜處理中,晶圓W是在處理容器1內被載置於基座15的狀態下,藉由未圖示的加熱器來加熱。在此狀態下,對於晶圓W,以彼此不同的時機來間歇性地重複供給複數的氣體,藉此在晶圓W表面形成預定的薄膜。
說明有關藉由ALD法來形成TiN膜的情況,作為其一例。此情況是重複進行以下的(1)~(8)的一連串的工程,藉此使TiN薄膜重複堆積,形成所望的膜厚的TiN膜。另外,以下的一連串的工程是在閥33,43,53,63,73被開放的狀態下進行。
(1)將腔室閥57開放,從原料氣體供給源50往處理容器1內供給TiCl4氣體作為原料氣體,而使TiCl4附著 於晶圓W表3。
(2)將腔室閥57閉鎖,停止TiCl4氣體的供給。
(3)將腔室閥67開放,從第2淨化氣體供給源60往處理容器1內供給N2氣體,而以N2氣體來淨化處理容器1內,藉此將殘留的TiCl4氣體排除。
(4)將腔室閥67閉鎖,停止N2氣體的供給。
(5)將腔室閥47,77開放,從第1及第2反應氣體供給源40,70往處理容器1內供給NH3氣體作為反應氣體,而使與附著於晶圓W表面的上述TiCl4反應,形成原子水準或分子水準的厚度的TiN薄膜。
(6)將腔室閥47,77閉鎖,停止NH3氣體的供給。
(7)將腔室閥37開放,從第1淨化氣體供給源30往處理容器1內供給N2氣體,而以N2氣體來淨化處理容器1內,藉此將殘留的NH3氣體排除。
(8)將腔室閥37閉鎖,停止N2氣體的供給。
圖7是表示上述的ALD法之成膜處理的3個腔室閥47,57,77的動作之一例的時間圖。在圖7中是分別以記號V47,V57,V77來表示腔室閥47,57,77。時間圖的上升及下降是分別表示腔室閥的開動作的時機及閉動作的時機。並且,在圖7中是分別以記號T47,T57,T77來表示腔室閥47,57,77的開期間,以記號t1來表示開時間差,該開時間差是顯示腔室閥77的開動作的時機相對於腔室閥47的開動作的時機之偏差,以記號t2來表示閉時間差,該閉時間差是顯示腔室閥77的閉動 作的時機相對於腔室閥47的閉動作的時機之偏差。另外,圖7所示的時間圖是模式性表示者,實際的動作是亦可與此相異。
如圖7所示般,氣體供給控制部200是以對應於本發明的「複數的第1閥」之腔室閥47,57的開期間T47,T57不會重疊的方式,控制腔室閥47,57的開閉動作。又,如圖7所示般,氣體供給控制部200是以對應於本發明的「第2閥」之腔室閥77的開期間T77的至少一部分會與追隨對象閥的腔室閥47的開期間T47具有預定的時間上的關係而重疊之方式,控制腔室閥77的開閉動作。圖7所示的例子是開時間差t1及閉時間差t2會對應於預定的時間上的關係。
另外,在圖7所示的例子中,腔室閥77的開動作的時機是偏移至比腔室閥47的開動作的時機更後。並且,腔室閥77的閉動作的時機是偏移至比腔室閥47的閉動作的時機更後。開時間差t1與閉時間差t2是亦可為相異,或相等。
<本實施形態的效果>
如以上說明般,成膜裝置100是具備:處理容器1、第1反應氣體供給路、原料氣體供給路、第2反應氣體供給路、進行第1反應氣體供給路的開閉之腔室閥47、進行原料氣體供給路的開閉之腔室閥57、進行第2反應氣體供給路的開閉之腔室閥77、及控制腔室閥47,57,77 的開閉動作之氣體供給控制部200。第1反應氣體供給路是具有複數的氣體吐出口6a。原料氣體供給路是具有複數的氣體吐出口6b。第2反應氣體供給路是具有被配置於與複數的氣體吐出口6a及複數的氣體吐出口6b不同的位置之複數的氣體吐出口8a。
在本實施形態中,原料氣體及第1反應氣體是分別藉由原料氣體供給路及第1反應氣體供給路來供給至處理容器1內。並且,氣體供給控制部200是將進行第1反應氣體供給路的開閉之腔室閥47及進行原料氣體供給路的開閉之腔室閥57控制成該等的開期間T47,T57彼此不會重疊。藉此,若根據本實施形態,則相較於利用共通的氣體供給路來切換原料氣體與反應氣體而供給至處理容器1內的情況,可短時間進行供給至處理容器1內的原料氣體與反應氣體的切換。
又,本實施形態的成膜裝置100是具備第2反應氣體供給路、及進行第2反應氣體供給路的開閉之腔室閥77。第2反應氣體供給路是具有被配置於與複數的氣體吐出口6a,6b不同的位置之複數的氣體吐出口8a。藉此,若根據本實施形態,則可短時間使第1及第2反應氣體遍及晶圓W的表面的全域的附近。
而且,在本實施形態中,氣體供給控制部200是以腔室閥77的開期間T77的至少一部分會與腔室閥47的開期間T47具有預定的時間上的關係而重疊的方式,控制腔室閥77的開閉動作。藉此,若根據本實施形態,則 可調整往處理容器1內的第1反應氣體的供給期間及往處理容器1內的第2反應氣體的供給期間的關係。因此,若根據本實施形態,則相較於往處理容器1內的第1反應氣體的供給期間及往處理容器1內的第2反應氣體的供給期間藉由1個的腔室閥來控制的情況,可仔細控制晶圓W的附近之第1及第2反應氣體的分佈。
並且,在本實施形態中,特別是腔室閥47進行開閉的1個第1氣體供給路(第1反應氣體供給路)、及腔室閥77進行開閉的第2氣體供給路(第2反應氣體供給路)是對處理容器1內供給同反應氣體的NH3氣體。因此,若根據本實施形態,則可仔細控制晶圓W的附近之NH3氣體的分佈,在晶圓W的附近提高NH3氣體的濃度的均一性。
經由第1氣體供給路及複數的氣體吐出口6a來吐出至處理空間9內的NH3氣體是從晶圓W的中央附近往徑外方向擴散,但在此過程中可想像NH3會藉由與原料氣體的TiCl4反應而被消耗。在此,例如處理300mm直徑以上的大型的晶圓W時,若只從晶圓W的中央附近吐出NH3氣體,則有時NH3氣體不會以充分的量到達晶圓W的周緣部,在該周緣部的成膜反應不會有效率地進行,恐有TiN膜的膜厚在晶圓面內產生不均一之虞。相對於此,本實施形態是除了第1氣體供給路及複數的氣體吐出口6a以外,還經由第2反應氣體供給路及複數的氣體吐出口8a來另外將NH3氣體朝晶圓W的周緣部供給,藉此 可在晶圓W的面內均等地供給NH3氣體。
而且,本實施形態是經由氣體吐出口6a來吐出至處理空間9,考慮從晶圓W的中央附近往徑外方向擴散的NH3氣體的擴散速度,而使腔室閥77的開閉動作追隨於腔室閥47的開閉動作。例如圖7所示般,使腔室閥77的開動作的時機比腔室閥47的開動作的時機只延遲開時間差t1。藉由如此的時間控制,可從氣體吐出口8a直接朝晶圓W的周緣部供給用以補足因成膜反應而被消耗的NH3的不足部分之必要最小限度的量的NH3氣體,在晶圓W的面內實現均一的成膜處理。並且,藉由使腔室閥77的開動作的時機比腔室閥47的開動作的時機只延遲開時間差t1,相較於使腔室閥47及腔室閥77的開動作同步時,可節約NH3氣體的供給量。另外,腔室閥77的開時間差t1、開期間T77及使從氣體吐出口8a吐出的NH3氣體的流量是可考慮晶圓W的直徑、從氣體吐出口6a供給的NH3氣體的流量或其擴散速度等來決定。
由以上的情形,若根據本實施形態,則在成膜裝置100中,可短時間進行被使用於晶圓W的處理之第1及第2反應氣體的切換,且可控制晶圓W的附近的第1及第2反應氣體的分佈。
另外,在本實施形態中,第2指示訊號生成部424是根據依照開時間差資訊OPDO所給予的開時間差及依照閉時間差資訊CLDO所給予的閉時間差的雙方來生成指示第2腔室閥77的開閉動作之第2指示訊號。但, 第2指示訊號生成部424是亦可根據開時間差及預先被設定的腔室閥77的開期間T77來生成第2指示訊號。
圖8是表示有關如上述般第2指示訊號生成部424根據開時間差及預先被設定的腔室閥77的開期間T77來生成第2指示訊號時的3個腔室閥47,57,77的動作例的時間圖。圖8的腔室閥47,57,77的動作表現方式是與圖7的表現方式相同。如上述般生成第2指示訊號時,如圖8所示般,腔室閥77的開期間T77會比腔室閥47的開期間T47更短,腔室閥77的閉動作的時機會有時比腔室閥47的閉動作的時機更快。
並且,在圖7及圖8所舉的例子是腔室閥77的開期間T77會與追隨對象閥的腔室閥47的開期間T47部分重疊,但開期間T77是亦可不一定要與開期間T47重疊。
並且,在本實施形態中,氣體供給控制部200是保持顯示腔室閥77的開期間T77相對於追隨對象閥的腔室閥47的開期間T47之偏差的開期間偏差資訊(開時間差及閉時間差),根據此開期間偏差資訊,以腔室閥47的開期間T47作為基準,決定腔室閥77的開期間T77。但,氣體供給控制部200是亦可保持顯示腔室閥77的開期間T77相對於追隨對象閥以外的第1閥的腔室閥57的開期間T57之偏差的開期間偏差資訊,根據此開期間偏差資訊,以腔室閥57的開期間T57作為基準,決定腔室閥77的開期間T77。此情況,腔室閥77的開動作的時機相 對於腔室閥57的開動作的時機之偏差、及腔室閥77的閉動作的時機相對於腔室閥57的閉動作的時機之偏差是比較大。因此,此情況,可保持充裕的處理時間來生成第2指示訊號。
<例外判定部的動作>
其次,參照圖6及圖7來說明有關例外判定部425的動作。例外判定部425是判定第2指示訊號生成部424中所被生成的第2指示訊號是否正常,當不正常時,對於上位控制部(MC401)輸出顯示不正常的數位.輸入資訊(以下稱為錯誤資訊)ERDI。不正常時的例子,可舉顯示腔室閥77的開動作的時機相對於追隨對象閥的腔室閥47的開動作的時機之偏差的開時間差t1過大,而腔室閥47,77的開期間T47,T77a不會重疊時。不正常時的其他的例子,可舉顯示腔室閥77的閉動作的時機相對於腔室閥47的閉動作的時機之偏差的閉時間差t2過大,而腔室閥77的開期間T77會與腔室閥47的其次的開期間T47重疊時。一旦上位控制部(MC401)取得錯誤資訊ERDI,則控制成膜裝置100的各構成部,而使能夠中止成膜裝置100的成膜處理。
另外,本發明是不限於上述實施形態,可實施各種的變更。例如,氣體供給控制部200是亦可構成上位控制部(MC401)可輸出包含指示腔室閥77的開閉動作的第2指示訊號之指示資訊。此情況,下位控制部(I/O板 415)是將上述指示資訊中所含的第2指示訊號給予腔室閥77而直接控制腔室閥77的開閉動作。另外,此情況是亦可將腔室閥77的開動作的時機錯開至比腔室閥47的開動作的時機更前。
並且,本發明的處理裝置是不限於ALD裝置,亦可適應在供給複數的氣體來進行成膜處理等的其他的處理裝置。而且,本發明是不限於半導體晶圓,亦可適用在處理液晶顯示裝置、有機EL顯示器、薄膜太陽電池面板等中所使用的大型的玻璃基板等的處理裝置。

Claims (6)

  1. 一種基板處理裝置,係具備:處理容器,其係收容被處理體;複數的第1氣體供給路,其係用以將被使用於前述被處理體的處理之除了淨化氣體以外的複數的氣體供給至前述處理容器內;第2氣體供給路,其係用以將被使用於前述被處理體的處理之除了淨化氣體以外的氣體供給至前述處理容器內;複數的第1閥,其係進行前述複數的第1氣體供給路的開閉;第2閥,其係進行前述第2氣體供給路的開閉;及控制部,其係控制前述複數的第1閥及前述第2閥的開閉動作,其特徵為:前述複數的第1氣體供給路係具有1個以上的第1氣體吐出口,前述第2氣體供給路係具有被配置於與前述1個以上的第1氣體吐出口不同的位置之1個以上的第2氣體吐出口,前述複數的第1閥係包含前述第2閥追隨進行開閉動作的追隨對象閥,前述追隨對象閥進行開閉的1個第1氣體供給路及前述第2氣體供給路係對前述處理容器內供給同氣體者, 前述控制部係以前述複數的第1閥的開期間彼此不會重疊的方式,控制前述複數的第1閥的開閉動作,且以前述第2閥的開期間會與前述追隨對象閥的開期間具有預定的時間上的關係之方式,控制前述第2閥的開閉動作,前述控制部係保持顯示前述第2閥的開期間相對於前述追隨對象閥的開期間之偏差的開期間偏差資訊,根據前述開期間偏差資訊,以前述追隨對象閥的開期間作為基準,決定前述第2閥的開期間。
  2. 如申請專利範圍第1項之基板處理裝置,其中,前述第2閥的開期間的至少一部分係與前述追隨對象閥的開期間重疊。
  3. 如申請專利範圍第1或2項之基板處理裝置,其中,前述1個以上的第2氣體吐出口係被配置於前述1個以上的第1氣體吐出口的周圍之複數的第2氣體吐出口。
  4. 如申請專利範圍第1或2項之基板處理裝置,其中,前述控制部係具備:上位控制部,其係輸出分別指示前述複數的第1閥的開閉動作之複數的第1指示訊號;及下位控制部,其係分別將前述上位控制部所輸出的前述複數的第1指示訊號給予前述複數的第1閥,而直接控制前述複數的第1閥的開閉動作,前述下位控制部係包含:開期間偏差資訊保持部,其係保持顯示前述第2閥的開期間相對於前述追隨對象閥的開期間之偏差的開期間偏 差資訊;及第2指示訊號生成部,其係根據前述複數的第1指示訊號之中指示前述追隨對象閥的開閉動作之第1指示訊號及前述開期間偏差資訊保持部所保持的前述開期間偏差資訊,來生成指示前述第2閥的開閉動作之第2指示訊號,依據前述第2指示訊號來控制前述第2閥的開閉動作。
  5. 如申請專利範圍第4項之基板處理裝置,其中,前述上位控制部係輸出:顯示以前述複數的第1閥之中的哪個作為前述追隨對象閥的追隨對象閥指定資訊,前述下位控制部係更包含:根據前述上位控制部所輸出的前述追隨對象閥指定資訊,從前述複數的第1指示訊號之中,選擇指示前述追隨對象閥的開閉動作的第1指示訊號之選擇部。
  6. 如申請專利範圍第1或2項之基板處理裝置,其中,該處理裝置係對於前述被處理體,以彼此不同的時機間歇性重複供給前述複數的氣體而進行成膜之ALD(Atomic Layer Deposition)裝置。
TW104125943A 2014-08-12 2015-08-10 基板處理裝置 TWI674329B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014-164213 2014-08-12
JP2014164213A JP6359913B2 (ja) 2014-08-12 2014-08-12 処理装置

Publications (2)

Publication Number Publication Date
TW201612357A TW201612357A (en) 2016-04-01
TWI674329B true TWI674329B (zh) 2019-10-11

Family

ID=55301721

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104125943A TWI674329B (zh) 2014-08-12 2015-08-10 基板處理裝置

Country Status (4)

Country Link
US (1) US9725804B2 (zh)
JP (1) JP6359913B2 (zh)
KR (1) KR101815736B1 (zh)
TW (1) TWI674329B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5824372B2 (ja) * 2012-01-25 2015-11-25 東京エレクトロン株式会社 処理装置及びプロセス状態の確認方法
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
JP7254620B2 (ja) * 2018-06-26 2023-04-10 株式会社Kokusai Electric 半導体装置の製造方法、部品の管理方法、基板処理装置及び基板処理プログラム
KR102409310B1 (ko) * 2020-05-19 2022-06-16 (주)아이작리서치 파우더용 원자층 증착 설비 및 이의 가스 공급 방법
US20220246403A1 (en) * 2021-01-29 2022-08-04 Applied Materials, Inc. Digital control of plasma processing
JP2023180573A (ja) * 2022-06-09 2023-12-21 東京エレクトロン株式会社 基板処理装置、制御システム、および制御方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004091874A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 成膜方法
US20080044569A1 (en) * 2004-05-12 2008-02-21 Myo Nyi O Methods for atomic layer deposition of hafnium-containing high-k dielectric materials

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100647442B1 (ko) * 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
JP2004052098A (ja) 2002-05-31 2004-02-19 Tokyo Electron Ltd 基板処理装置およびそれに用いるサセプタ
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
JP4866898B2 (ja) * 2006-03-30 2012-02-01 三井造船株式会社 原子層成長装置
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
JP4430718B2 (ja) * 2008-03-21 2010-03-10 三井造船株式会社 原子層成膜装置
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
JP6022908B2 (ja) * 2012-01-16 2016-11-09 東京エレクトロン株式会社 処理装置及びバルブ動作確認方法
JP5824372B2 (ja) * 2012-01-25 2015-11-25 東京エレクトロン株式会社 処理装置及びプロセス状態の確認方法
JP5929429B2 (ja) * 2012-03-30 2016-06-08 東京エレクトロン株式会社 成膜装置
JP5917477B2 (ja) * 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004091874A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 成膜方法
US20080044569A1 (en) * 2004-05-12 2008-02-21 Myo Nyi O Methods for atomic layer deposition of hafnium-containing high-k dielectric materials

Also Published As

Publication number Publication date
JP6359913B2 (ja) 2018-07-18
KR20160019864A (ko) 2016-02-22
US20160047039A1 (en) 2016-02-18
JP2016040397A (ja) 2016-03-24
US9725804B2 (en) 2017-08-08
KR101815736B1 (ko) 2018-01-05
TW201612357A (en) 2016-04-01

Similar Documents

Publication Publication Date Title
TWI674329B (zh) 基板處理裝置
US10961625B2 (en) Substrate processing apparatus, reaction tube and method of manufacturing semiconductor device
US10879092B2 (en) Fault detection using showerhead voltage variation
CN102054663B (zh) 基板处理装置和基板处理方法
US8900364B2 (en) High productivity vapor processing system
US20130064973A1 (en) Chamber Conditioning Method
CN102453888A (zh) 成膜装置及成膜方法
JP2010073823A (ja) 成膜装置、成膜方法、及びコンピュータ可読記憶媒体
US11094572B2 (en) Substrate processing apparatus and recording medium
TW200406847A (en) Heat processing method and heat processing apparatus
US20210043485A1 (en) Substrate processing apparatus and substrate holder
JP5595963B2 (ja) 縦型バッチ式成膜装置
JP2011029441A (ja) 基板処理装置及び基板処理方法
JP2017183311A (ja) 制御装置、基板処理システム、基板処理方法及びプログラム
US20220081770A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, substrate processing method, and recording medium
WO2004040632A1 (ja) 熱処理装置及び熱処理方法
US11581201B2 (en) Heat treatment apparatus and film deposition method
US9828675B2 (en) Processing apparatus and processing method
US20130136862A1 (en) Multi-cell mocvd apparatus
US10229843B2 (en) Substrate processing apparatus and control device for substrate processing apparatus
WO2021049392A1 (ja) ガス供給装置、基板処理装置及びガス供給装置の制御方法
CN111033714A (zh) 基板处理装置、半导体器件的制造方法及程序
JP2023005462A (ja) 成膜装置
JP2016040657A (ja) 処理装置
US11021792B2 (en) Symmetric precursor delivery