TWI673563B - 光罩基底、相移光罩、相移光罩之製造方法及半導體裝置之製造方法 - Google Patents

光罩基底、相移光罩、相移光罩之製造方法及半導體裝置之製造方法 Download PDF

Info

Publication number
TWI673563B
TWI673563B TW105101760A TW105101760A TWI673563B TW I673563 B TWI673563 B TW I673563B TW 105101760 A TW105101760 A TW 105101760A TW 105101760 A TW105101760 A TW 105101760A TW I673563 B TWI673563 B TW I673563B
Authority
TW
Taiwan
Prior art keywords
phase shift
film
light
shift film
pattern
Prior art date
Application number
TW105101760A
Other languages
English (en)
Other versions
TW201635008A (zh
Inventor
小湊淳志
野澤順
Original Assignee
日商Hoya股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商Hoya股份有限公司 filed Critical 日商Hoya股份有限公司
Publication of TW201635008A publication Critical patent/TW201635008A/zh
Application granted granted Critical
Publication of TWI673563B publication Critical patent/TWI673563B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0676Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • G03F1/74Repair or correction of mask defects by charged particle beam [CPB], e.g. focused ion beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Thermal Sciences (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本發明提供一種具備相移膜之光罩基底,上述相移膜對ArF準分子雷射之曝光用光具有特定之透過率及特定之相位差,且於進行EB缺陷修正時,用以檢測與透光性基板之邊界的蝕刻終點之檢測容易。
本發明係製成如下光罩基底:相移膜具有如下功能:使ArF準分子雷射之曝光用光以10%以上且20%以下之透過率透過;及對於透過相移膜之曝光用光,使其在與僅以與相移膜之厚度相同之距離於空氣中通過的曝光用光之間產生150度以上且190度以下之相位差;並且相移膜係由含有金屬、矽、氮及氧之材料形成,該膜中之金屬之含量相對於金屬及矽之合計含量之比率為5%以上且10%以下,相移膜之氧含量為10原子%以上,相移膜之矽含量為氧含量之3倍以上。

Description

光罩基底、相移光罩、相移光罩之製造方法及半導體裝置之製造方法
本發明係關於一種光罩基底、使用該光罩基底而製造之相移光罩及其製造方法。又,本發明係關於一種使用上述相移光罩之半導體裝置之製造方法。
一般而言,於半導體裝置之製造步驟中,使用光微影法進行微細圖案之形成。又,於該微細圖案之形成時,通常使用數片轉印用光罩。於使半導體裝置之圖案微細化時,除了形成於轉印用光罩中之光罩圖案之微細化以外,還必須實現光微影所使用之曝光光源之波長之短波長化。近年來,製造半導體裝置時所使用之曝光光源正由KrF(krypton fluoride,氟化氪)準分子雷射(波長248nm)向ArF(Argon fluoride,氟化氬)準分子雷射(波長193nm)推進短波長化。
轉印用光罩之種類中,除了先前之於透光性基板上具備包含鉻系材料之遮光膜圖案之二元光罩以外,還有半色調式相移光罩。半色調式相移光罩之相移膜中,廣泛使用矽化鉬(MoSi)系之材料。然而如專利文獻1中所揭示般,近年來已判明:MoSi系膜對ArF準分子雷射之曝光用光之耐性(所謂ArF耐光性)較低。專利文獻1中,對形成圖案後之MoSi系膜進行電漿處理、UV(Ultraviolet,紫外線)光照射處理、或加熱處理,於MoSi系膜之圖案之表面上形成鈍態膜,藉此能提高ArF耐光性。
專利文獻2中揭示有一種缺陷修正技術,其藉由對遮光膜之黑點缺陷部分供給二氟化氙(XeF2)氣體並且對該部分照射電子束,而將黑點缺陷部蝕刻去除(以下,將此種照射電子束等帶電粒子而進行之缺陷修正簡稱為EB(Electron-Beam,電子束)缺陷修正)。該EB缺陷修正最初係用於EUV微影(Extreme Ultraviolet Lithography,極紫外線微影)用之反射型光罩之吸收體膜之黑點缺陷修正,近年來亦被用於MoSi半色調光罩之黑點缺陷修正中。
專利文獻3中揭示有一種高透過率半色調式相移光罩之光罩構造。以前之包含二層構造之高透過率之相移膜存在如下問題:膜厚容易變厚,故而若形成微細之OPC(Optical Proximity Correction,光學鄰近修正)圖案,則容易產生圖案之崩塌。專利文獻3之相移膜較二層構造之相移膜而進一步實現了薄膜化,即便形成微細之OPC圖案亦不易產生圖案之崩塌。
[先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開2010-217514號公報
[專利文獻2]日本專利特表2004-537758號公報
[專利文獻3]日本專利特開2010-9038號公報
於將ArF準分子雷射光作為曝光用光(以下亦稱為ArF曝光用光)之光微影所使用之半色調式相移光罩中,對於半色調相移膜(以下簡稱為「相移膜」),同時要求如下功能:使ArF曝光用光以特定之透過率透過;及對於透過相移膜之ArF曝光用光,使其在與僅以與該相移膜之厚度相同之距離於空氣中通過的光之間產生特定之相位差(相移量)。迄今為止,一直廣泛使用具有對ArF曝光用光之透過率低於10% 之特性之相移膜,關於相移量,一般設為180度左右。為單層構造(包含無法避免表層之氧化之區域之單層構造;以下,除特別提及表層之氧化區域之情形以外,將單層構造視為表層包含氧化區域者)之相移膜、同時滿足如上所述之對ArF曝光用光之透過率及相移量之條件、並且能以更薄之膜厚形成之材料相對有限。包含鉬矽氮化物(MoSiN)之材料滿足該等條件,迄今為止一直被廣泛使用。
近年來,於半色調式相移光罩中,為了對透過圖案邊緣附近之透過光獲得更高之相移效果等,對於透過率較高之相移膜(透過率為10%以上之相移膜)之要求不斷高漲。於先前之包含MoSiN之單層構造之相移膜之情形時,為了確保特定之相位差並且使透過率成為10%以上,必須減少相移膜之鉬之含量,例如將相移膜中之鉬之含量[原子%]除以鉬(Mo)與矽(Si)之合計含量[原子%]而得之比率[%](以下,將該比率稱為「Mo/[Mo+Si]比率」)設為4%以下。然而,若減少相移膜之鉬之含量,則產生膜之導電性降低之問題。又,若相移膜之鉬之含量變少,則亦存在對相移膜之黑點缺陷進行上述EB缺陷修正時之蝕刻速率降低之問題。
作為可用於相移膜之材料,有鉬矽氮氧化物(MoSiON)。包含該MoSiON之單層構造之相移膜雖然膜厚較包含MoSiN之單層構造之相移膜更厚,但即便相對地增多鉬含量(例如Mo/[Mo+Si]比率為5%以上),亦能確保特定之相位差並且將透過率設為10%以上。然而已明確:於具備包含MoSiON之單層構造之相移膜之光罩基底之情形時,存在以下問題。
於由光罩基底製作相移光罩時之光罩檢查中於相移膜中發現黑點缺陷之情形時,大多利用EB缺陷修正進行修正。新判明:於利用EB缺陷修正對包含MoSiON之單層構造之相移膜之黑點缺陷進行修正之情形時,相較於包含MoSiN之單層構造之相移膜,用以檢測相移膜 與透光性基板之邊界的蝕刻終點之檢測變困難。
本發明係為了解決上述先前之問題而成者,其目的在於提供一種光罩基底,該本發明係於透光性基板上具備高透過率之相移膜者,且即便其相移膜具有確保特定之相位差並且將透過率設為10%以上之光學特性,EB缺陷修正時之用以檢測相移膜與透光性基板之邊界的蝕刻終點之檢測亦相對較容易,藉此能進行精度較高之EB缺陷修正。又,本發明之目的在於提供一種使用該光罩基底而製造之黑點缺陷較少之高透過率型之相移光罩。進而,本發明之目的在於提供一種製造此種相移光罩之方法。並且,本發明之目的在於提供一種使用此種相移光罩之半導體裝置之製造方法。
為了達成上述課題,本發明具有以下構成。
(構成1)
一種光罩基底,其特徵在於:其係於透光性基板上具備相移膜者,且上述相移膜具有如下功能:使ArF準分子雷射之曝光用光以10%以上且20%以下之透過率透過;及對於透過上述相移膜之上述曝光用光,使其在與僅以與上述相移膜之厚度相同之距離於空氣中通過的上述曝光用光之間產生150度以上且190度以下之相位差;上述相移膜係由含有金屬、矽、氮及氧之材料形成;上述相移膜中之上述金屬之含量相對於上述金屬及矽之合計含量之比率為5%以上且10%以下;上述相移膜之氧含量為10原子%以上;上述相移膜之矽含量為氧含量之3倍以上。
(構成2)
如構成1記載之光罩基底,其特徵在於:上述相移膜之氧含量為 20原子%以下。
(構成3)
如構成1或2記載之光罩基底,其特徵在於:上述相移膜之氮含量為30原子%以上。
(構成4)
如構成1至3中任一項記載之光罩基底,其特徵在於:上述相移膜之氮含量為45原子%以下。
(構成5)
如構成1至4中任一項記載之光罩基底,其特徵在於:上述相移膜係與上述透光性基板之表面接觸而形成。
(構成6)
如構成1至5中任一項記載之光罩基底,其特徵在於:上述相移膜之厚度為90nm以下。
(構成7)
如構成1至6中任一項記載之光罩基底,其特徵在於:上述相移膜於表層具有氧含量多於除該表層以外之部分之區域的層。
(構成8)
如構成1至7中任一項記載之光罩基底,其特徵在於:於上述相移膜上具備遮光膜。
(構成9)
一種相移光罩,其特徵在於:其係於如構成8記載之光罩基底之上述相移膜中形成有轉印用圖案,且於上述遮光膜中形成有遮光帶圖案。
(構成10)
一種相移光罩之製造方法,其特徵在於:其係使用如構成8記載之光罩基底之相移光罩之製造方法,且具備如下步驟: 藉由乾式蝕刻於上述遮光膜中形成轉印用圖案;將具有上述轉印用圖案之遮光膜作為遮罩,藉由乾式蝕刻於上述相移膜中形成轉印用圖案;及將具有遮光帶圖案之抗蝕劑膜作為遮罩,藉由乾式蝕刻於上述遮光膜中形成遮光帶圖案。
(構成11)
一種半導體裝置之製造方法,其特徵在於具備如下步驟:使用如構成9記載之相移光罩,將轉印用圖案曝光轉印至半導體基板上之抗蝕劑膜上。
(構成12)
一種半導體裝置之製造方法,其特徵在於具備如下步驟:使用藉由如構成10記載之相移光罩之製造方法所製造之相移光罩,將轉印用圖案曝光轉印至半導體基板上之抗蝕劑膜上。
本發明之光罩基底之特徵在於:其係於透光性基板上具備相移膜者,且由含有金屬、矽、氮及氧之材料形成相移膜,並且於該相移膜中,將金屬之含量相對於金屬及矽之合計含量之比率設為5%以上且10%以下,將氧含量設為10原子%以上,及將矽含量設為氧含量之3倍以上。藉由製成此種構造之光罩基底,該相移膜能同時確保如下功能:使ArF準分子雷射之曝光用光以10%以上之透過率透過;及對於透過上述相移膜之曝光用光,使其在與僅以與相移膜之厚度相同之距離於空氣中通過的曝光用光之間產生150度以上且190度以下之相位差。除此以外,該相移膜於進行EB缺陷修正時,用以檢測相移膜與透光性基板之邊界的蝕刻終點之檢測變容易,且能避免成為缺陷修正不充分之狀態、或意外地刻蝕透光性基板之情況,EB缺陷修正之精度提昇。
1‧‧‧透光性基板
2‧‧‧相移膜
2a‧‧‧相移圖案
3‧‧‧遮光膜
3a、3b‧‧‧遮光圖案
4‧‧‧硬質遮罩膜
4a‧‧‧硬質遮罩圖案
5a‧‧‧第1抗蝕劑圖案
6b‧‧‧第2抗蝕劑圖案
100‧‧‧光罩基底
200‧‧‧相移光罩
圖1係表示本發明之實施形態之光罩基底之構成的剖視圖。
圖2(a)~(g)係表示本發明之實施形態之相移光罩之製造步驟的剖面模式圖。
以下,對本發明之各實施形態進行說明。
[光罩基底及其製造]
本發明者等人對如下相移膜及其組成進行了銳意研究,其係包含以MoSiON為代表之金屬矽氮氧化物的單層構造之高透過率型之相移膜,且於利用EB缺陷修正進行修正時獲得實用上充分之加工速度,並且用以檢測相移膜與透光性基板之邊界的蝕刻終點之檢測較容易,其結果為,能以較高之精度進行EB缺陷修正。於本發明之各實施形態中,作為對象之相移膜係能對曝光用光(ArF準分子雷射光)確保特定之相位差(150度以上且190度以下)及較高之透過率(10%以上且20%以下)之膜。藉由該相移膜具有之光學性質,能獲得較高之邊緣加強效果,藉此,使用該相移光罩進行轉印時之解析度或焦點裕度提高。又,藉由製成單層構造之相移膜,與二層以上之積層構造之相移膜相比,利用乾式蝕刻形成相移圖案時之側壁形狀變良好。進而,藉由製成單層構造之相移膜,與二層以上之積層構造之相移膜相比,能削減製造步驟之步驟數並且能減少成膜時之步驟數,故而能抑制缺陷之產生。因此,能減少缺陷修正對象部位。
首先,對於本發明所要求之相移膜之光學要求值,包括其原因而一起進行描述,繼而,對滿足該光學要求值並且能進行高精度之EB缺陷修正(能高精度地進行缺陷修正時之終點檢測之EB缺陷修正)之相移膜之材料組成進行描述。
對於相移膜,要求對波長193nm之來自ArF準分子雷射光源之 ArF曝光用光之透過率為10%以上。藉此,與先前之半色調式相移光罩相比,能獲得圖案邊緣附近經進一步加強之光強度分佈,故而使用該相移膜而製造之相移光罩之解析度或焦點裕度等轉印性能提高。
另一方面,若相移膜之透過率變得過高,則容易產生因來自轉印用圖案之繞射光、與透過由相移圖案以外之部分形成之畦部之光的干涉而產生之被稱為次峰的意外之光強度分佈。又,該意外之光強度分佈所產生之次峰部之光強度變強,其成為缺陷而被轉印(將其稱為「次峰轉印」)。就抑制該意外之次峰轉印之觀點而言,相移膜對ArF曝光用光之透過率較佳為20%以下。又,為了提高對各種圖案佈局等之容許度而緩和圖案佈侷限制,相移膜對ArF曝光用光之透過率較佳為15%以下。
進而,相移膜為了獲得適當之相移效果,要求以如下方式進行調整:對於透過之ArF曝光用光,在與以僅與該相移膜之厚度相同之距離於空氣中通過的光之間產生之相位差成為150度以上且190度以下。相移膜之上述相位差之上限值更佳為180度以下。其原因在於:於在相移膜中形成圖案時之乾式蝕刻時,減小因透光性基板被微小地蝕刻所引起之相位差增加之影響。又,其原因亦在於:近年來曝光裝置對相移光罩之ArF曝光用光之照射方式中,使ArF曝光用光自相對於相移膜之膜面之垂直方向以特定角度傾斜之方向入射者不斷增加。
於包含金屬矽氮氧化物之相移膜之EB缺陷修正中,於高精度地進行EB缺陷修正之方面,實用上重要的是金屬矽氮氧化物之蝕刻速率、其與基板之蝕刻速率差、及蝕刻終點檢測精度此三要素。而且,該等特性必須於無損產生上述相移膜所需求之透過率及相位差之條件之情況下滿足。
此處,作為金屬矽氮氧化物中之金屬元素,較佳為過渡金屬元素。作為相移膜中所含有之過渡金屬元素,迄今為止一直廣泛使用鉬 (Mo),但並不限定於此。作為相移膜中所含有之過渡金屬元素,可列舉鉭(Ta)、鎢(W)、鈦(Ti)、鉻(Cr)、鉿(Hf)、鎳(Ni)、釩(V)、鋯(Zr)、釕(Ru)、銠(Rh)、鋅(Zn)、鈮(Nb)及鈀(Pd)中之任一種以上之金屬元素。又,作為過渡金屬元素以外之金屬元素,可列舉鋁(Al)、銦(In)、錫(Sn)及鎵(Ga)等。
於對黑缺陷照射電子束時,EB缺陷修正之蝕刻終點檢測係藉由檢測自受到照射之部分釋出之歐傑電子、二次電子、特性X射線、背向散射電子之至少任一者而進行。例如於檢測自受到電子束照射之部分釋出之歐傑電子之情形時,藉由歐傑電子光譜法(AES),主要查看材料組成之變化。又,於檢測二次電子之情形時,根據SEM(scanning electron microscope,掃描式電子顯微鏡)圖像而主要查看表面形狀之變化。進而,於檢測特性X射線之情形時,藉由能量分散型X射線光譜法(EDX)或波長分散X射線光譜法(WDX),主要查看材料組成之變化。於檢測背向散射電子之情形時,藉由電子束背向散射繞射法(EBSD),主要查看材料之組成或結晶狀態之變化。
於包含金屬矽氮氧化物之相移膜之EB缺陷修正中,一面對相移膜之黑點缺陷部分供給非激發狀態之氟系氣體(可應用XeF2、XeF4、XeF6、XeOF2、XeOF4、XeO2F2、XeO3F2、XeO2F4、ClF3、ClF、BrF5、BrF、IF3、IF5等,尤佳為XeF2),一面對該部分照射電子束,藉此將黑點缺陷部蝕刻去除。此時之蝕刻速率取決於金屬(M)之含量[原子%]除以金屬與矽(Si)之合計含量[原子%]而得之比率[%](以下,將該比率稱為「M/[M+Si]比率」),對相對於該比率之蝕刻速率進行了詳細研究,結果得知,藉由將包含金屬矽氮氧化物之相移膜之M/[M+Si]比率設為5%以上,而確保實用上充分之蝕刻速率。此外得知,亦能充分確保與以氧化矽為主成分之透光性基板之間之蝕刻選擇性。
另一方面,於M/[M+Si]比率至34%左右為止之範圍內,存在如下傾向:隨著相移膜中之M/[M+Si]比率上升,對曝光用光(ArF曝光用光)之光吸收變大。若M/[M+Si]比率過於上升,則為了對曝光用光獲得10%至20%之相移膜之透過率,必須大幅度地增加氧或氮。然而,隨著相移膜中之氧含量變多,有折射率降低之傾向,故而難以避免用以確保特定之相位差的膜厚大幅度地變厚之情況。若相移膜之膜厚變厚,則產生如下問題:光罩上之微細之光罩圖案崩塌,或於光罩圖案中由電磁場效應引起之偏壓(EMF偏壓)變大。得知為了抑制該圖案崩塌、或將EMF偏壓控制於容許範圍內,以將M/[M+Si]比率之上限值設為10%為宜。又,亦得知藉由將M/[M+Si]比率設為10%以下,相移膜對ArF曝光用光之累計曝光量之耐性成為實用上充分者。
為了即便將相移膜之M/[M+Si]比率設為5%以上亦具有對曝光用光之透過率為10%以上之光學特性,必須含有特定量以上之氧。對其量進行了評價,結果得知必須將相移膜之氧含量設為10原子%以上。進而,為了進一步提高相移膜對曝光用光之透過率,較佳為設為12原子%以上。另一方面,關於相移膜之氧含量,為了使EB缺陷修正時之蝕刻終點之檢測容易,必須為設為20原子%以下,較佳為設為較佳為18原子%以下、進而佳為15原子%以下。
如上所述,包含金屬矽氮氧化物之相移膜含有一定量以上之矽及氧。另一方面,合成石英等透光性基板亦係以矽及氧作為主成分,兩者之構成元素處於相對較近之狀態。因此存在如下問題:即便於EB缺陷修正中使用上述任一蝕刻終點檢測方法,亦難以檢測出蝕刻終點,而於本實施形態中,藉由將相移膜中之矽之含有率設為氧之含有率之3倍以上,檢測信號於蝕刻終點之前後產生明顯之差,藉此能檢測出蝕刻終點。
為了使相移膜儘可能薄膜化,並且將對曝光用光之透過率及相 位差控制為所需之值,有效的是以相移膜對曝光用光之消光係數k及折射率n大於僅含有氧(O)時之方式含有氮(N)。藉由使氮以30原子%以上、45原子%以下之範圍含有於相移膜中,可製成使相移膜之膜厚薄至後述般之90nm以下且具有上述所需之透過率及相位差之相移膜,於在光罩上形成微細圖案或降低由電磁場效應引起之偏壓(EMF偏壓)之方面有效果。
再者,關於相移膜,於材料中除了金屬、矽、氮及氧以外,亦可以10原子%以下之範圍而含有該等主構成元素以外之元素。只要相移膜中之主構成元素以外之元素之合計含量為10原子%以下之範圍,則對相移膜之光學各特性或與EB缺陷修正有關之各特性的影響較小,從而可容許。
繼而,一面參照圖1一面對光罩基底之整體構成進行說明。
圖1係表示本發明之實施形態之光罩基底100之構成的剖視圖。圖1所示之本發明之光罩基底100具有於透光性基板1上依序積層有相移膜2、遮光膜3及硬質遮罩膜4之構造。
透光性基板1除了可由合成石英玻璃形成以外,還可由石英玻璃、鋁矽酸鹽玻璃、鈉鈣玻璃、低熱膨脹玻璃(SiO2-TiO2玻璃等)等形成。該等之中,合成石英玻璃對ArF曝光用光之透過率較高,且亦具有不易引起變形之充分之剛性,故而作為形成光罩基底之透光性基板之材料而尤佳。
相移膜2較佳為與透光性基板1之表面接觸而形成。其原因在於:較佳為於EB缺陷修正時,於透光性基板1與相移膜2之間不存在包含難以進行EB缺陷修正之材料之膜(例如鉻系材料之膜)。
相移膜2之材料為具有上述組成比之金屬矽氮氧化物。
又,相移膜2之膜厚較佳為至少設為90nm以下。其原因在於:若進行薄膜化,則可減小EMF偏壓。因此,相移膜2之厚度進而較佳 為85nm以下,更佳為80nm以下。又,藉由將相移膜之膜厚設為此種薄膜,而抑制由光罩上之圖案崩塌所致之不良,相移光罩之良率提高。
為了使相移膜2滿足上述光學特性及膜之厚度之各條件,相移膜對曝光用光(ArF曝光用光)之折射率n較佳為1.9以上,更佳為2.0以上。又,相移膜之折射率n較佳為3.1以下,更佳為2.7以下。相移膜2對ArF曝光用光之消光係數k較佳為0.26以上,更佳為0.29以上。又,相移膜2之消光係數k較佳為0.62以下,更佳為0.54以下。
包含相移膜2之薄膜之折射率n及消光係數k並非僅由該薄膜之組成決定。該薄膜之膜密度或結晶狀態等亦為影響折射率n或消光係數k之要素。因此,對藉由反應性濺鍍將薄膜成膜時之各條件進行調整,以該薄膜成為所需之折射率n及消光係數k之方式進行成膜。為了將相移膜2設為上述折射率n及消光係數k之範圍,有效的是於藉由反應性濺鍍進行成膜時,調整稀有氣體與反應性氣體(氧氣、氮氣等)之混合氣體之比率,但並非僅限於此。涉及藉由反應性濺鍍進行成膜時之成膜室內之壓力、施加於濺鍍靶之電力、靶與透光性基板1之間之距離等位置關係等多方面。又,該等成膜條件係成膜裝置所固有,且以所形成之相移膜2成為所需之折射率n及消光係數k之方式適當調整。
相移膜2可藉由濺鍍而形成,可應用DC(direct current,直流)濺鍍、RF(radio frequency,射頻)濺鍍及離子束濺鍍等中之任一種濺鍍。於使用導電性較低之靶之情形時,較佳為應用RF濺鍍或離子束濺鍍,若考慮到成膜速度,則更佳為應用RF濺鍍。
相移膜2較理想為於表層具有氧含量多於除該表層以外之部分之相移膜2的層(以下,簡稱為表面氧化層)。於表層具有氧含量較多之層之相移膜2對光罩製作製程時之洗淨步驟或相移光罩之重複使用時所進行之光罩洗淨中所使用之洗淨液的耐性較高。作為形成相移膜2 之表面氧化層之方法,可應用各種氧化處理。作為該氧化處理,例如可列舉:於大氣中等含氧之氣體中之加熱處理、於含氧之氣體中之利用閃光燈等之光照射處理、使臭氧或氧電漿與最上層接觸之處理等。尤佳為使用亦可同時獲得降低相移膜2之膜應力之作用之加熱處理或利用閃光燈等之光照射處理,於相移膜2上形成表面氧化層。相移膜2之表面氧化層之厚度較佳為1nm以上,更佳為1.5nm以上。又,相移膜2之表面氧化層之厚度較佳為5nm以下,更佳為3nm以下。
光罩基底100於相移膜2上具備遮光膜3。一般對於二元型之轉印用光罩而言,要求供形成轉印用圖案之區域(轉印用圖案形成區域)之外周區域確保特定值以上之光學濃度(OD),以於使用曝光裝置對半導體晶圓上之抗蝕劑膜進行曝光轉印時,使抗蝕劑膜不受由透過外周區域之曝光用光所帶來之影響。關於該方面,相移光罩之情形亦相同。通常認為於包含相移光罩之轉印用光罩之外周區域中,較理想為OD為3.0以上,至少必須為2.8以上。相移膜2具有以特定之透過率透過曝光用光之功能,難以僅利用相移膜2來確保外周區域所要求之特定值之光學濃度。因此,必須於製造光罩基底100之階段中,預先於相移膜2上積層用以確保不足之光學濃度之遮光膜3。藉由設為此種光罩基底100之構成,只要於製造相移光罩200(參照圖2)之過程中,將使用相移效果之區域(基本上為轉印用圖案形成區域)之遮光膜3去除,便能製造於外周區域確保了特定值之光學濃度之相移光罩200。
再者,關於光學濃度OD,於將入射至作為對象之膜中之光之強度設為I0,將透過該膜之光之強度設為I時,以OD=-log10(I/I0)
定義。
關於遮光膜3,單層構造及2層以上之積層構造均可應用。又,單層構造之遮光膜及2層以上之積層構造之遮光膜之各層可為於膜或 層之厚度方向上為大致相同組成之構成,亦可為於層之厚度方向上為梯度組成之構成。
圖1中記載之光罩基底100成為於相移膜2上未介隔其他膜而積層遮光膜3之構成。該構成之情形之遮光膜3必須應用對在相移膜2上形成圖案時所使用之蝕刻氣體具有充分之蝕刻選擇性之材料。
此情形之遮光膜3較佳為由含有鉻之材料形成。作為形成遮光膜3之含有鉻之材料,除鉻金屬以外,可列舉於鉻(Cr)中含有選自氧(O)、氮(N)、碳(C)、硼(B)及氟(F)中之一種以上元素之材料。一般而言,鉻系材料係利用氯系氣體與氧氣之混合氣體進行蝕刻,但鉻金屬相對於該蝕刻氣體之蝕刻速率不太高。若考慮到提高相對於氯系氣體與氧氣之混合氣體之蝕刻氣體的蝕刻速率之方面,則作為形成遮光膜3之材料,較佳為於鉻中含有選自氧、氮、碳、硼及氟中之一種以上元素之材料。又,亦可使形成遮光膜之含有鉻之材料中含有鉬(Mo)、銦(In)及錫(Sn)中之一種以上之元素。藉由使其含有鉬、銦及錫中之一種以上之元素,可進一步加快相對於氯系氣體與氧氣之混合氣體之蝕刻速率。
再者,本發明之光罩基底不限定於圖1所示者,亦可以於相移膜2與遮光膜3之間介隔其他膜(蝕刻終止膜)之方式構成。於此情形時,較佳為設為由上述含有鉻之材料形成蝕刻終止膜,由含有矽之材料形成遮光膜3之構成。
於形成遮光膜3之含有矽之材料中,可含有過渡金屬,亦可含有過渡金屬以外之金屬元素。其原因在於:形成於遮光膜3中之圖案基本上為外周區域之遮光帶圖案,ArF曝光用光之累計照射量少於轉印用圖案區域;或於該外周區域中較少配置有微細圖案,即便ArF耐光性較低,亦不易產生實質上之問題。又,其原因在於:若使遮光膜3中含有過渡金屬,則與不含過渡金屬之情形相比,遮光性能大幅度地 提昇,能減薄遮光膜3之厚度。作為遮光膜3中含有之過渡金屬,可列舉鉬(Mo)、鉭(Ta)、鎢(W)、鈦(Ti)、鉻(Cr)、鉿(Hf)、鎳(Ni)、釩(V)、鋯(Zr)、釕(Ru)、銠(Rh)、鈮(Nb)、鈀(Pd)等任一種金屬或該等金屬之合金。
本實施形態中,利用對蝕刻遮光膜3時所使用之蝕刻氣體具有蝕刻選擇性之材料形成積層於遮光膜3上之硬質遮罩膜4。藉此,如以下所述,與直接使用抗蝕劑膜作為遮光膜3之遮罩之情形相比,能大幅度地減薄抗蝕劑膜之膜厚。
遮光膜3必須確保特定之光學濃度而具有充分之遮光功能,故而其厚度之降低存在極限。另一方面,硬質遮罩膜4只要具有於在其正下方之遮光膜3中形成圖案之乾式蝕刻結束之前之期間中,能作為蝕刻遮罩而發揮功能之膜厚便足夠,基本上不受光學方面之限制。因此,硬質遮罩膜4之厚度能較遮光膜3之厚度而大幅度地減薄。並且,有機系材料之抗蝕劑膜只要具有於在該硬質遮罩膜4中形成圖案之乾式蝕刻結束之前之期間中,作為蝕刻遮罩而發揮功能之膜厚便足夠,故而與直接使用抗蝕劑膜作為遮光膜3之遮罩之情形相比,能大幅度地減薄抗蝕劑膜之膜厚。如此般能使抗蝕劑膜薄膜化,故而能提高抗蝕劑解析度,並且能防止所形成之圖案之崩塌。如此,較佳為由上述材料來形成積層於遮光膜3上之硬質遮罩膜4,但本發明並不限定於該實施形態,於光罩基底100中,亦可不形成硬質遮罩膜4而於遮光膜3上直接形成抗蝕劑圖案,並將該抗蝕劑圖案作為遮罩直接進行遮光膜3之蝕刻。
於遮光膜3係由含有鉻之材料形成之情形時,該硬質遮罩膜4較佳為由上述含有矽之材料形成。此處,此情形之硬質遮罩膜4存在與有機系材料之抗蝕劑膜之密接性較低之傾向,故而較佳為對硬質遮罩膜4之表面實施HMDS(Hexamethyldisilazane,六甲基二矽烷)處理,使 表面之密接性提高。再者,此情形之硬質遮罩膜4更佳為由SiO2、SiN、SiON等形成。
又,作為遮光膜3係由含有鉻之材料形成之情形時的硬質遮罩膜4之材料,除上述以外,亦能應用含有鉭之材料。作為此情形時之含有鉭之材料,除鉭金屬以外,可列舉於鉭中含有選自氮、氧、硼及碳中之一種以上之元素之材料等。例如可列舉:Ta、TaN、TaO、TaON、TaBN、TaBO、TaBON、TaCN、TaCO、TaCON、TaBCN、TaBOCN等。
又,於遮光膜3係由含有矽之材料形成之情形時,硬質遮罩膜4較佳為由上述含有鉻之材料形成。
於光罩基底100中,較佳為以100nm以下之膜厚與硬質遮罩膜4之表面接觸而形成有機系材料之抗蝕劑膜。於對應於DRAM hp32nm代之微細圖案之情形時,存在如下情況:於需形成於硬質遮罩膜4中之轉印用圖案(相移圖案)中,設有線寬為40nm之SRAF(Sub-Resolution Assist Feature,次解析度輔助特徵)。即便為此種情形時,亦由於抗蝕劑圖案之剖面縱橫低至1:2.5,故而抑制於抗蝕劑膜之顯影時、沖洗時等抗蝕劑圖案崩塌或脫離之情況。再者,抗蝕劑膜之膜厚若為80nm以下,則進一步抑制抗蝕劑圖案之崩塌或脫離,故而更佳。
[相移光罩及其製造]
該實施形態之相移光罩200之特徵在於:於光罩基底100之相移膜2中形成有轉印用圖案(相移圖案),且於遮光膜3中形成有遮光帶圖案。於在光罩基底100中設有硬質遮罩膜4之構成之情形時,於該相移光罩200之製作過程中去除硬質遮罩膜4。
本發明之相移光罩之製造方法之特徵在於:其係使用上述光罩基底100者,且具備如下步驟:藉由乾式蝕刻於遮光膜3中形成轉印用 圖案;藉由將具有轉印用圖案之遮光膜3作為遮罩之乾式蝕刻於相移膜2中形成轉印用圖案;及藉由將具有遮光帶圖案之抗蝕劑膜(第2抗蝕劑圖案6b)作為遮罩之乾式蝕刻於遮光膜3中形成遮光帶圖案。以下,根據圖2所示之製造步驟,對本發明之相移光罩200之製造方法進行說明。再者,此處對使用在遮光膜3上積層有硬質遮罩膜4之光罩基底100之相移光罩200的製造方法進行說明。又,對遮光膜3中應用含有鉻之材料、且硬質遮罩膜4中應用含有矽之材料之情形進行說明。
首先,與光罩基底100之硬質遮罩膜4接觸,藉由旋轉塗佈法形成抗蝕劑膜。繼而,利用電子束對抗蝕劑膜曝光描繪作為需形成於相移膜中之轉印用圖案(相移圖案)之第1圖案,進而進行顯影處理等特定處理,形成具有相移圖案之第1抗蝕劑圖案5a(參照圖2(a))。繼而,將第1抗蝕劑圖案5a作為遮罩,進行使用氟系氣體之乾式蝕刻,於硬質遮罩膜4中形成第1圖案(硬質遮罩圖案4a)(參照圖2(b))。
繼而,去除第1抗蝕劑圖案5a之後,將硬質遮罩圖案4a作為遮罩,進行使用氯系氣體與氧氣之混合氣體之乾式蝕刻,於遮光膜3中形成第1圖案(遮光圖案3a)(參照圖2(c))。繼而,將遮光圖案3a作為遮罩,進行使用氟系氣體之乾式蝕刻,於相移膜2中形成第1圖案(相移圖案2a),並且亦同時去除硬質遮罩圖案4a(參照圖2(d))。
繼而,藉由旋轉塗佈法於光罩基底100上形成抗蝕劑膜。其後,利用電子束對抗蝕劑膜曝光描繪作為需形成於遮光膜3中之圖案(遮光圖案)之第2圖案,進而進行顯影處理等特定處理,形成具有遮光圖案之第2抗蝕劑圖案6b(參照圖2(e))。此處,由於第2圖案為相對較大之圖案,故而亦能代替使用電子束之曝光描繪,而設為產出量較高之使用雷射描繪裝置之雷射光之曝光描繪。
繼而,將第2抗蝕劑圖案6b作為遮罩,進行使用氯系氣體與氧氣之混合氣體之乾式蝕刻,於遮光膜3中形成第2圖案(遮光圖案3b)(參照 圖2(f))。進而,去除第2抗蝕劑圖案6b,經過洗淨等特定處理,獲得相移光罩200(參照圖2(g))。
作為上述乾式蝕刻所使用之氯系氣體,只要含有氯(Cl),則並無特別限制。例如可列舉Cl2、SiCl2、CHCl3、CH2Cl2、BCl3等。又,作為上述乾式蝕刻所使用之氟系氣體,只要含有氟(F),則並無特別限制。例如可列舉CHF3、CF4、C2F6、C4F8、SF6等。尤其是不含C之氟系氣體,由於對玻璃基板之蝕刻速率相對較低,故而能進一步減小對玻璃基板之損傷。
本發明之相移光罩200係使用上述光罩基底100所製作者。因此,形成有轉印用圖案之相移膜(相移圖案)對ArF曝光用光之透過率為10%以上且20%以下,且透過相移圖案之曝光用光與僅以與相移圖案之厚度相同之距離在空氣中通過的曝光用光之間之相位差成為150度以上且190度之範圍內,能產生較高之相移效果。除此以外,於對相移光罩200之製造步驟之過程中進行之光罩檢查中發現之黑點缺陷的EB缺陷修正時,能相對容易地檢測出蝕刻終點。
[半導體裝置之製造]
本發明之半導體裝置之製造方法之特徵在於:使用上述相移光罩200或利用上述光罩基底100所製造之相移光罩200,將轉印用圖案曝光轉印至半導體基板上之抗蝕劑膜上。由於本發明之相移光罩200產生較高之相移效果,故而若使用本發明之相移光罩200對半導體裝置上之抗蝕劑膜進行曝光轉印,則能以充分滿足設計規格之精度於半導體裝置上之抗蝕劑膜中形成圖案。又,即便於使用在其製造過程中利用EB缺陷修正對黑點缺陷部分進行了修正之相移光罩對半導體裝置上之抗蝕劑膜進行曝光轉印之情形時,亦能防止於與該相移光罩之存在黑點缺陷之圖案部分相對應之半導體裝置上之抗蝕劑膜中產生轉印不良。因此,於將該抗蝕劑圖案作為遮罩對被加工膜進行乾式蝕刻 而形成電路圖案之情形時,能以不存在由精度不足或轉印不良引起之配線短路或斷線之高精度而形成良率較高之電路圖案。
[實施例]
以下,藉由實施例對本發明之實施形態進一步具體地進行說明。
(實施例1)
[光罩基底之製造]
準備主表面之尺寸為約152mm×約152mm、且厚度為約6.35mm之包含合成石英玻璃之透光性基板1。該透光性基板1係將端面及主表面研磨至特定之表面粗糙度以下(均方根粗糙度Rq為0.2nm以下),其後實施特定之洗淨處理及乾燥處理而成者。
繼而,於單片式DC濺鍍裝置內設置透光性基板1,使用鉬(Mo)與矽(Si)之混合靶(Mo:Si=8原子%:92原子%),藉由將氬氣(Ar)、氮氣(N2)、氧氣(O2)及氦氣(He)之混合氣體作為濺鍍氣體之反應性濺鍍(DC濺鍍),於透光性基板1上以74nm之厚度形成包含鉬、矽、氮及氧之相移膜2(MoSiON膜)。按照以上順序,以74nm之厚度與透光性基板1之表面接觸而形成單層之相移膜2。
繼而,對形成有該相移膜2之透光性基板1進行用以降低相移膜2之膜應力、及用以於表層形成氧化層之加熱處理。具體而言,使用加熱爐(電爐),於大氣中將加熱溫度設為450℃、將加熱時間設為1小時而進行加熱處理。準備另一對透光性基板1之主表面以相同條件成膜相移膜2並進行加熱處理而成者。使用相移量測定裝置(Lasertec公司製造MPM193),測定該相移膜2對波長193nm之光之透過率及相位差,結果透過率為12.3%,相位差為176.5度(deg)。又,利用STEM(Scanning Transmission Electron Microscope,掃描穿透式電子顯微鏡)及EDX對該相移膜2進行分析,結果確認到自相移膜2之表面 起以約1.5nm左右之厚度形成有氧化層。進而,使用光譜式橢圓儀(J.A.Woollam公司製造之M-2000D)對該相移膜2測定光學特性,結果對波長193nm之折射率n為2.33,消光係數k為0.42(下文中記載之各薄膜之折射率n及消光係數k係利用相同之光譜式橢圓儀進行測定)。
以與上述相同之順序準備另一於透光性基板1上形成有相移膜2者,利用XPS(X-ray Photoelectron Spectroscopy:X射線光電子光譜法)測定該相移膜2之膜組成,並對其測定結果進行修正(校正)以使其相當於RBS(Rutherford Backscattering Spectrometry:拉塞福背向散射光譜法)測定結果。其結果為,除了表層之氧化層以外之部分的相移膜2之組成為:Mo為2.8原子%,Si為43.4原子%,O為14.0原子%,並且N為39.8原子%。因此,相移膜2之Mo/(Mo+Si)為6.1%,Si/O為3.1。又,於相移膜2之除了表層之氧化層以外之部分中,未特別確認到膜厚方向上之梯度組成。
繼而,於單片式DC濺鍍裝置內設置形成有相移膜2之透光性基板1,使用鉻(Cr)靶,將氬氣(Ar)、二氧化碳(CO2)、氮氣(N2)及氦氣(He)之混合氣體作為濺鍍氣體進行反應性濺鍍(DC濺鍍),於相移膜2上以16nm之厚度形成包含CrOCN之遮光膜3之最下層。該最下層對波長193nm之光之折射率n為2.29,消光係數k為1.00。繼而,使用相同之鉻(Cr)靶,將氬氣(Ar)、二氧化碳(CO2)、氮氣(N2)及氦氣(He)之混合氣體作為濺鍍氣體進行反應性濺鍍(DC濺鍍),於遮光膜3之最下層上以41nm之厚度形成包含CrOCN之遮光膜3之下層。該下層對波長193nm之光之折射率n為1.80,消光係數k為1.22。
繼而,使用相同之鉻(Cr)靶,將氬氣(Ar)及氮氣(N2)之混合氣體作為濺鍍氣體進行反應性濺鍍(DC濺鍍),於遮光膜3之下層上以6nm之厚度形成包含CrN之遮光膜3之上層。該上層對波長193nm之光之折射率n為1.51,消光係數k為1.60。藉由以上方法,自相移膜2側以合 計膜厚63nm形成由包含CrOCN之最下層、包含CrOCN之下層、包含CrN之上層之三層構造所構成之鉻系材料之遮光膜3。再者,對該相移膜2與遮光膜3之積層構造之波長193nm之光學濃度(OD)進行測定,結果為3.0以上。
進而,於單片式RF濺鍍裝置內設置積層有相移膜2及遮光膜3之透光性基板1,使用二氧化矽(SiO2)靶,將氬氣(Ar)氣體作為濺鍍氣體進行RF濺鍍,於遮光膜3上以5nm之厚度形成包含矽及氧之硬質遮罩膜4。藉由以上方法,製造於透光性基板1上積層有單層之相移膜2、三層構造之遮光膜3及硬質遮罩膜4之構造之光罩基底100。
[相移光罩之製造]
繼而,使用該實施例1之光罩基底100,按照以下順序製作實施例1之相移光罩200。首先,對硬質遮罩膜4之表面實施HMDS處理。繼而,藉由旋轉塗佈法以膜厚80nm與硬質遮罩膜4之表面接觸而形成包含電子束描繪用化學增幅型抗蝕劑之抗蝕劑膜。繼而,對該抗蝕劑膜電子束描繪出作為需形成於相移膜2中之相移圖案之第1圖案,進行特定之顯影處理,形成具有第1圖案之第1抗蝕劑圖案5a(參照圖2(a))。再者,於此時電子束描繪出之第1圖案中,以於相移膜2中形成黑點缺陷之方式,除了原本需形成之相移圖案以外預先加入程序缺陷。
繼而,將第1抗蝕劑圖案5a作為遮罩,進行使用CF4氣體之乾式蝕刻,於硬質遮罩膜4中形成第1圖案(硬質遮罩圖案4a)(參照圖2(b))。
繼而,藉由灰化或剝離液等將第1抗蝕劑圖案5a去除。繼而,將硬質遮罩圖案4a作為遮罩,進行使用氯氣與氧氣之混合氣體(氣體流量比Cl2:O2=4:1)之乾式蝕刻,於遮光膜3中形成第1圖案(遮光圖案3a)(參照圖2(c))。
繼而,將遮光圖案3a作為遮罩,進行使用氟系氣體(SF6+He)之 乾式蝕刻,於相移膜2中形成第1圖案(相移圖案2a),並且同時去除硬質遮罩圖案4a(參照圖2(d))。
繼而,藉由旋轉塗佈法於遮光圖案3a上以膜厚150nm形成包含電子束描繪用化學增幅型抗蝕劑之抗蝕劑膜。繼而,對抗蝕劑膜曝光描繪出作為需形成於遮光膜3中之圖案(遮光圖案)之第2圖案,進而進行顯影處理等特定處理,形成具有遮光圖案之第2抗蝕劑圖案6b(參照圖2(e))。繼而,將第2抗蝕劑圖案6b作為遮罩,進行使用氯氣與氧氣之混合氣體(氣體流量比Cl2:O2=4:1)之乾式蝕刻,於遮光膜3中形成第2圖案(遮光圖案3b)(參照圖2(f))。進而,去除第2抗蝕劑圖案6b,經過洗淨等特定處理而獲得相移光罩200(參照圖2(g))。
藉由光罩檢查裝置對所製作之實施例1之半色調式之相移光罩200進行光罩圖案之檢查,結果於配置有程序缺陷之部位之相移圖案2a中確認到黑點缺陷。對該黑點缺陷部分進行使用電子束及XeF2氣體之EB缺陷修正,結果能容易地檢測出蝕刻終點,從而能將對透光性基板1之表面之蝕刻止於最小限度。
對於進行EB缺陷修正後之實施例1之半色調式之相移光罩200,使用AIMS193(Carl Zeiss公司製造)模擬利用波長193nm之曝光用光對半導體裝置上之抗蝕劑膜進行曝光轉印時之轉印像。對該模擬之曝光轉印像進行驗證,結果充分滿足設計規格。又,進行EB缺陷修正之部分之轉印像並不遜色於除此以外之區域之轉印像。根據該結果,可謂即便將進行EB缺陷修正後之實施例1之相移光罩設置於曝光裝置之光罩台上,對半導體裝置上之抗蝕劑膜進行曝光轉印,亦能高精度地形成最終形成於半導體裝置上之電路圖案。
(實施例2)
[光罩基底之製造]
按照與實施例1相同之順序準備透光性基板1。繼而,於單片式 DC濺鍍裝置內設置透光性基板1,使用鉬(Mo)與矽(Si)之混合靶(Mo:Si=8原子%:92原子%),藉由將氬氣(Ar)、氮氣(N2)、氧氣(O2)及氦氣(He)之混合氣體作為濺鍍氣體之反應性濺鍍(DC濺鍍),於透光性基板1上以74nm之厚度形成包含鉬、矽、氮及氧之相移膜2(MoSiON膜)。按照以上順序,以74nm之厚度與透光性基板1之表面接觸而形成單層之相移膜2。
繼而,對該形成有相移膜2之透光性基板1進行用以降低相移膜2之膜應力、及用以於表層形成氧化層之加熱處理。具體而言,使用加熱爐(電爐),於大氣中將加熱溫度設為450℃、將加熱時間設為1.5小時而進行加熱處理。準備另一對透光性基板1之主表面以相同條件成膜相移膜2並進行加熱處理而成者。使用相移量測定裝置(Lasertec公司製造MPM193),測定該相移膜2對波長193nm之光之透過率及相位差,結果透過率為12.3%,相位差為176.4度(deg)。又,利用STEM(Scanning Transmission Electron Microscope,掃描穿透式電子顯微鏡)及EDX對該相移膜2進行分析,結果確認到自相移膜2之表面起以約1.6nm左右之厚度形成有氧化層。進而,使用光譜式橢圓儀(J.A.Woollam公司製造M-2000D)對該相移膜2測定光學特性,結果對波長193nm之折射率n為2.33,消光係數k為0.42。
與實施例1同樣地準備另一於透光性基板1上形成有相移膜2者,利用XPS(X-ray Photoelectron Spectroscopy:X射線光電子光譜法)測定該相移膜2之膜組成,並對其測定結果進行修正(校正)以使其相當於RBS(Rutherford Backscattering Spectrometry:拉塞福背向散射光譜法)測定結果。其結果為,包含表層之氧化層的相移膜2整體之組成為:Mo為2.7原子%,Si為43.4原子%,O為14.4原子%,並且N為39.5原子%(其中,未藉由濺鍍將相移膜2刻蝕而測定之相移膜2之表面之測定值由於大幅度地受到污染之影響,故而自測定結果中排除)。因 此,相移膜2之Mo/(Mo+Si)為5.9%,Si/O為3.0。再者,於相移膜2之除了表層之氧化層以外之部分中,未特別確認到膜厚方向上之梯度組成。
繼而,按照與實施例1相同之順序,於相移膜2上依序形成遮光膜3及硬質遮罩膜4。藉由以上方法,製造具有於透光性基板1上積層有單層之相移膜2、三層構造之遮光膜3及硬質遮罩膜4之構造的實施例2之光罩基底100。
[相移光罩之製造]
繼而,使用該實施例2之光罩基底100,按照與實施例1相同之順序製作實施例2之相移光罩200。
藉由光罩檢查裝置對所製作之實施例2之半色調式之相移光罩200進行光罩圖案之檢查,結果於配置有程序缺陷之部位之相移圖案2a中確認到黑點缺陷。對該黑點缺陷部分進行使用電子束及XeF2氣體之EB缺陷修正,結果能容易地檢測出蝕刻終點,從而能將對透光性基板1之表面之蝕刻止於最小限度。
對於進行EB缺陷修正後之實施例2之半色調式之相移光罩200,使用AIMS193(Carl Zeiss公司製造)模擬利用波長193nm之曝光用光對半導體裝置上之抗蝕劑膜進行曝光轉印時之轉印像。對該模擬之曝光轉印像進行驗證,結果充分滿足設計規格。又,進行EB缺陷修正之部分之轉印像並不遜色於除此以外之區域之轉印像。根據該結果,可謂即便將進行EB缺陷修正後之實施例2之相移光罩設置於曝光裝置之光罩台上,對半導體裝置上之抗蝕劑膜進行曝光轉印,亦能高精度地形成最終形成於半導體裝置上之電路圖案。
(比較例1)
[光罩基底之製造]
比較例1之光罩基底係與實施例1相比變更相移膜2之膜組成之 例,關於相移膜2以外,利用與實施例1相同之方法進行製造。構成該比較例1之相移膜2之元素與實施例1相同,包含鉬、矽、氮及氧,且與實施例1同樣地為單層構造之膜(MoSiON膜)。然而,改變成膜條件且變更膜之成分比率(膜組成)。具體而言,於單片式DC濺鍍裝置內設置透光性基板1,使用鉬(Mo)與矽(Si)之混合燒結靶(Mo:Si=4原子%:96原子%),藉由將氬氣(Ar)、氮氣(N2)、氧氣(O2)及氦氣(He)之混合氣體作為濺鍍氣體之反應性濺鍍(DC濺鍍),以66nm之厚度形成包含鉬、矽、氮及氧之相移膜2。相移膜2之材料組成係藉由與實施例1時相比改變氣體流量等而調整。
又,以與實施例1相同之處理條件對該相移膜2亦進行加熱處理。準備另一對透光性基板1之主表面以相同條件成膜該比較例1之相移膜2並進行加熱處理而成者。使用相移量測定裝置(Lasertec公司製造MPM193)測定該相移膜2於波長193nm之光下之透過率及相位差,結果透過率為12.1%,相位差為177.1度(deg)。又,利用STEM及EDX對相移膜2進行分析,結果確認到自相移膜2之表面起以約1.7nm左右之厚度形成有氧化層。進而,使用光譜式橢圓儀對該相移膜2測定相移膜2之各光學特性,結果於波長193nm之光下折射率n為2.48,消光係數k為0.45。
按照與上述相同之順序準備於透光性基板1上形成有相移膜2者,利用XPS測定該相移膜2之膜組成,並對其測定結果進行修正(校正)以使其相當於RBS測定結果。其結果為,除了表層之氧化層以外之部分的相移膜2之組成為:Mo為1.9原子%,Si為47.1原子%,O為16.1原子%,並且N為34.9原子%。因此,相移膜2之Mo/(Mo+Si)為3.9%,Si/O為2.9。又,於相移膜2之除了表層部以外之部分中,未特別確認到膜厚方向上之梯度組成。
按照以上順序,製造具備於透光性基板1(合成石英玻璃)上積層 有包含MoSiON之相移膜2、遮光膜3及硬質遮罩膜4之構造的比較例1之光罩基底。
[相移光罩之製造]
繼而,使用該比較例1之光罩基底100,利用與實施例1相同之方法製作比較例1之相移光罩200。
藉由光罩檢查裝置對所製作之比較例1之半色調式之相移光罩200進行光罩圖案之檢查,結果於配置有程序缺陷之部位之相移圖案2a中確認到黑點缺陷。對該黑點缺陷部分進行EB缺陷修正,結果難以檢測出蝕刻終點,自透光性基板1之表面進行蝕刻。
對於進行EB缺陷修正後之比較例1之半色調式之相移光罩200,使用AIMS193(Carl Zeiss公司製造)模擬利用波長193nm之曝光用光對半導體裝置上之抗蝕劑膜進行曝光轉印時之轉印像。對該模擬之曝光轉印像進行驗證,結果進行EB缺陷修正之部分以外大致充分滿足設計規格。然而,進行EB缺陷修正之部分之轉印像為因蝕刻對透光性基板1之影響等而產生轉印不良之水準。根據該結果可預測:於將進行EB缺陷修正後之比較例1之相移光罩200設置於曝光裝置之光罩台上,對半導體裝置上之抗蝕劑膜進行曝光轉印之情形時,最終形成於半導體裝置上之電路圖案會產生電路圖案之斷線或短路。
(比較例2)
[光罩基底之製造]
比較例2之光罩基底係與實施例1相比變更相移膜2之膜組成之例,關於相移膜2以外,利用與實施例1相同之方法進行製造。構成該比較例2之相移膜2之元素與實施例1相同,包含鉬、矽、氮及氧,且與實施例1同樣地為單層構造之膜(MoSiON膜)。然而,改變成膜條件且變更膜之成分比率(膜組成)。具體而言,於單片式DC濺鍍裝置內設置透光性基板1,使用鉬(Mo)與矽(Si)之混合燒結靶(Mo:Si=4原子 %:96原子%),藉由將氬氣(Ar)、氮氣(N2)、氧氣(O2)及氦氣(He)之混合氣體作為濺鍍氣體之反應性濺鍍(DC濺鍍),以66nm之厚度形成包含鉬、矽、氮及氧之相移膜2。相移膜2之材料組成係藉由與實施例1時相比改變氣體流量等而調整。
又,以與實施例2相同之處理條件對該相移膜2亦進行加熱處理。準備另一對透光性基板1之主表面以相同條件成膜該比較例2之相移膜2並進行加熱處理而成者。使用相移量測定裝置(Lasertec公司製造MPM193)測定該相移膜2於波長193nm之光下之透過率及相位差,結果透過率為12.1%,相位差為177.0度(deg)。又,利用STEM及EDX對相移膜2進行分析,結果確認到自相移膜2之表面起以約1.8nm左右之厚度形成有氧化層。進而,使用光譜式橢圓儀對該相移膜2測定相移膜2之各光學特性,結果於波長193nm之光下,折射率n為2.48,消光係數k為0.45。
按照與上述相同順序準備於透光性基板1上形成有相移膜2者,利用XPS測定該相移膜2之膜組成,並對其測定結果進行修正(校正)以使其相當於RBS測定結果。其結果為,包含表層之氧化層之相移膜2整體之組成為:Mo為1.9原子%,Si為46.8原子%,O為16.6原子%,並且N為34.7原子%,(其中,未藉由濺鍍將相移膜2刻蝕而測定之相移膜2之表面之測定值由於大幅度地受到污染之影響,故而自測定結果中排除)。因此,相移膜2之Mo/(Mo+Si)為3.9%,Si/O為2.8。再者,於相移膜2之除了表層部以外之部分中,未特別確認到膜厚方向上之梯度組成。
按照以上順序,製造具備於透光性基板1(合成石英玻璃)上積層有包含MoSiON之相移膜2、遮光膜3及硬質遮罩膜4之構造的比較例2之光罩基底。
[相移光罩之製造]
繼而,使用該比較例2之光罩基底100,利用與實施例1相同之方法製作比較例2之相移光罩200。
藉由光罩檢查裝置對所製作之比較例2之半色調式之相移光罩200進行光罩圖案之檢查,結果於配置有程序缺陷之部位之相移圖案2a中確認到黑點缺陷。對該黑點缺陷部分進行EB缺陷修正,結果難以檢測出蝕刻終點,自透光性基板1之表面進行蝕刻。
對於進行EB缺陷修正後之比較例2之半色調式之相移光罩200,使用AIMS193(Carl Zeiss公司製造)模擬利用波長193nm之曝光用光對半導體裝置上之抗蝕劑膜進行曝光轉印時之轉印像。對該模擬之曝光轉印像進行驗證,結果進行EB缺陷修正之部分以外大致充分滿足設計規格。然而,進行EB缺陷修正之部分之轉印像為因蝕刻對透光性基板1之影響等而產生轉印不良之水準。根據該結果可預測:於將進行EB缺陷修正後之比較例2之相移光罩200設置於曝光裝置之光罩台上,對半導體裝置上之抗蝕劑膜進行曝光轉印之情形時,最終形成於半導體裝置上之電路圖案會產生電路圖案之斷線或短路。

Claims (11)

  1. 一種光罩基底,其特徵在於:其係於透光性基板上具備相移膜,並進而於相移膜上具備遮光膜者,且上述相移膜係由含有矽及氮之材料形成;上述相移膜對波長193nm之光之折射率n為1.9以上且3.1以下,且對波長193nm之光之消光係數k為0.26以上且0.62以下;上述遮光膜為由含有鉻之材料形成,且具備自上述相移膜側依序積層最下層、下層及上層之構造;上述最下層、下層及上層對波長193nm之光之折射率n係依最下層、下層、上層之順序變小;上述最下層、下層及上層對波長193nm之光之消光係數k係依最下層、下層、上層之順序變大。
  2. 如請求項1之光罩基底,其中上述最下層、下層及上層之各膜厚係依上層、最下層、下層之順序變大。
  3. 如請求項1或2之光罩基底,其中上述相移膜具有如下功能:使波長193nm之光以10%以上之透過率透過;及對於透過上述相移膜之上述光,使其在與僅以與上述相移膜之厚度相同之距離於空氣中通過的上述光之間產生150度以上且190度以下之相位差。
  4. 如請求項1或2之光罩基底,其中上述相移膜之氮含量為30原子%以上且45原子%以下。
  5. 如請求項1或2之光罩基底,其中上述相移膜係與上述透光性基板之表面接觸而形成。
  6. 如請求項1或2之光罩基底,其中上述相移膜之厚度為90nm以下。
  7. 如請求項1或2之光罩基底,其中上述相移膜於表層具有氧含量多於除了該表層以外之部分之相移膜的氧化層。
  8. 一種相移光罩,其特徵在於:其於如請求項1至7中任一項之光罩基底之上述相移膜中形成有轉印用圖案,且於上述遮光膜中形成有遮光帶圖案。
  9. 一種相移光罩之製造方法,其特徵在於:其係使用如請求項1至7中任一項之光罩基底之相移光罩之製造方法,且具備如下步驟:藉由乾式蝕刻於上述遮光膜中形成轉印用圖案;藉由將具有上述轉印用圖案之遮光膜作為遮罩之乾式蝕刻於上述相移膜中形成轉印用圖案;及將具有遮光帶圖案之抗蝕劑膜作為遮罩,藉由乾式蝕刻於上述遮光膜中形成遮光帶圖案。
  10. 一種半導體裝置之製造方法,其特徵在於具備如下步驟:使用如請求項8之相移光罩,將轉印用圖案曝光轉印至半導體基板上之抗蝕劑膜上。
  11. 一種半導體裝置之製造方法,其特徵在於具備如下步驟:使用藉由如請求項9之相移光罩之製造方法所製造之相移光罩,將轉印用圖案曝光轉印至半導體基板上之抗蝕劑膜上。
TW105101760A 2015-03-24 2016-01-20 光罩基底、相移光罩、相移光罩之製造方法及半導體裝置之製造方法 TWI673563B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015060699 2015-03-24
JP2015-060699 2015-03-24

Publications (2)

Publication Number Publication Date
TW201635008A TW201635008A (zh) 2016-10-01
TWI673563B true TWI673563B (zh) 2019-10-01

Family

ID=56978098

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105101760A TWI673563B (zh) 2015-03-24 2016-01-20 光罩基底、相移光罩、相移光罩之製造方法及半導體裝置之製造方法

Country Status (5)

Country Link
US (2) US10551733B2 (zh)
JP (1) JP6720139B2 (zh)
KR (1) KR102564650B1 (zh)
TW (1) TWI673563B (zh)
WO (1) WO2016152212A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI819449B (zh) * 2020-12-31 2023-10-21 南韓商Sk恩普士股份有限公司 空白罩幕以及利用其的光罩幕

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180041042A (ko) * 2016-10-13 2018-04-23 주식회사 에스앤에스텍 위상반전 블랭크 마스크 및 포토마스크
JP6900872B2 (ja) * 2016-12-26 2021-07-07 信越化学工業株式会社 フォトマスクブランク及びその製造方法
JP7080070B2 (ja) * 2017-03-24 2022-06-03 Hoya株式会社 フォトマスク、及び表示装置の製造方法
CN113421916B (zh) * 2021-05-27 2024-03-01 重庆惠科金渝光电科技有限公司 金属导电薄膜的制备方法、薄膜晶体管以及显示装置
KR20240026914A (ko) * 2021-06-29 2024-02-29 호야 가부시키가이샤 마스크 블랭크, 위상 시프트 마스크의 제조 방법 및 반도체 디바이스의 제조 방법

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050186487A1 (en) * 2004-02-24 2005-08-25 Shin-Etsu Chemical Co., Ltd. Halftone phase shift mask blank, halftone phase shift mask and their preparation
JP2007078712A (ja) * 2005-09-09 2007-03-29 Toshiba Corp 基板洗浄方法、位相シフトマスクの製造方法および半導体装置の製造方法
US20090233182A1 (en) * 2005-09-30 2009-09-17 Hoya Corporation Photomask blank and method of producing the same, method of producing photomask, and method of producing semiconductor device
TW201024912A (en) * 2008-06-25 2010-07-01 Hoya Corp Phase shift mask blank, phase shift mask, and method for manufacturing phase shift mask blank
TW201030455A (en) * 2008-12-29 2010-08-16 Hoya Corp Photomask blank manufacturing method and photomask manufacturing method
JP2010217514A (ja) * 2009-03-17 2010-09-30 Toppan Printing Co Ltd フォトマスクの製造方法
TW201109831A (en) * 2009-02-12 2011-03-16 Hoya Corp Method of manufacturing a photomask
CN103998984A (zh) * 2011-10-07 2014-08-20 株式会社日立高新技术 相移掩模、非对称图案的形成方法、衍射光栅的制造方法及半导体装置的制造方法
CN104160335A (zh) * 2012-05-14 2014-11-19 株式会社S&S技术 空白罩幕、光罩以及其制造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004537758A (ja) 2001-07-27 2004-12-16 エフ・イ−・アイ・カンパニー 電子ビーム処理
JP2005092241A (ja) 2002-03-01 2005-04-07 Hoya Corp ハーフトーン型位相シフトマスクブランクの製造方法
US7344806B2 (en) 2003-03-31 2008-03-18 Shin-Etsu Chemical Co., Ltd. Method of producing phase shift mask blank, method of producing phase shift mask, phase shift mask blank, and phase shift mask
JP2004301993A (ja) * 2003-03-31 2004-10-28 Shin Etsu Chem Co Ltd 位相シフトマスクブランクの製造方法および位相シフトマスクの製造方法並びに位相シフトマスクブランクおよび位相シフトマスク
KR100546365B1 (ko) 2003-08-18 2006-01-26 삼성전자주식회사 블랭크 포토마스크 및 이를 사용한 포토마스크의 제조방법
TWI375114B (en) 2004-10-22 2012-10-21 Shinetsu Chemical Co Photomask-blank, photomask and fabrication method thereof
JP4405443B2 (ja) 2004-10-22 2010-01-27 信越化学工業株式会社 フォトマスクブランクおよびフォトマスクならびにこれらの製造方法
EP1746460B1 (en) * 2005-07-21 2011-04-06 Shin-Etsu Chemical Co., Ltd. Photomask blank, photomask and fabrication method thereof
TWI453531B (zh) 2008-06-25 2014-09-21 Hoya Corp 相位移空白遮罩及相位移遮罩
US9075319B2 (en) * 2009-03-31 2015-07-07 Hoya Corporation Mask blank and transfer mask
JP5606028B2 (ja) 2009-09-11 2014-10-15 Hoya株式会社 フォトマスクブランクおよびフォトマスクの製造方法
JP5644293B2 (ja) * 2010-09-10 2014-12-24 信越化学工業株式会社 遷移金属ケイ素系材料膜の設計方法
JP6084391B2 (ja) * 2011-09-28 2017-02-22 Hoya株式会社 マスクブランク、転写用マスク、転写用マスクの製造方法および半導体デバイスの製造方法
EP2594994B1 (en) * 2011-11-21 2016-05-18 Shin-Etsu Chemical Co., Ltd. Light pattern exposure method
JP5879951B2 (ja) * 2011-11-21 2016-03-08 信越化学工業株式会社 光パターン照射方法、ハーフトーン位相シフトマスク及びハーフトーン位相シフトマスクブランク
US9874808B2 (en) 2013-08-21 2018-01-23 Dai Nippon Printing Co., Ltd. Mask blank, mask blank with negative resist film, phase shift mask, and method for producing pattern formed body using same
JP5779290B1 (ja) 2014-03-28 2015-09-16 Hoya株式会社 マスクブランク、位相シフトマスクの製造方法、位相シフトマスク、および半導体デバイスの製造方法

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050186487A1 (en) * 2004-02-24 2005-08-25 Shin-Etsu Chemical Co., Ltd. Halftone phase shift mask blank, halftone phase shift mask and their preparation
JP2007078712A (ja) * 2005-09-09 2007-03-29 Toshiba Corp 基板洗浄方法、位相シフトマスクの製造方法および半導体装置の製造方法
US20090233182A1 (en) * 2005-09-30 2009-09-17 Hoya Corporation Photomask blank and method of producing the same, method of producing photomask, and method of producing semiconductor device
TW201024912A (en) * 2008-06-25 2010-07-01 Hoya Corp Phase shift mask blank, phase shift mask, and method for manufacturing phase shift mask blank
TW201030455A (en) * 2008-12-29 2010-08-16 Hoya Corp Photomask blank manufacturing method and photomask manufacturing method
TW201109831A (en) * 2009-02-12 2011-03-16 Hoya Corp Method of manufacturing a photomask
JP2010217514A (ja) * 2009-03-17 2010-09-30 Toppan Printing Co Ltd フォトマスクの製造方法
CN103998984A (zh) * 2011-10-07 2014-08-20 株式会社日立高新技术 相移掩模、非对称图案的形成方法、衍射光栅的制造方法及半导体装置的制造方法
CN104160335A (zh) * 2012-05-14 2014-11-19 株式会社S&S技术 空白罩幕、光罩以及其制造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI819449B (zh) * 2020-12-31 2023-10-21 南韓商Sk恩普士股份有限公司 空白罩幕以及利用其的光罩幕

Also Published As

Publication number Publication date
KR20170123610A (ko) 2017-11-08
US10551733B2 (en) 2020-02-04
JP6720139B2 (ja) 2020-07-08
US11016382B2 (en) 2021-05-25
US20180031963A1 (en) 2018-02-01
TW201635008A (zh) 2016-10-01
KR102564650B1 (ko) 2023-08-08
WO2016152212A1 (ja) 2016-09-29
US20200117077A1 (en) 2020-04-16
JPWO2016152212A1 (ja) 2018-01-11

Similar Documents

Publication Publication Date Title
TWI648592B (zh) 光罩基底、相位偏移光罩、相位偏移光罩之製造方法及半導體裝置之製造方法
KR101795335B1 (ko) 마스크 블랭크, 전사용 마스크, 전사용 마스크의 제조 방법 및 반도체 디바이스의 제조 방법
TWI651583B (zh) 光罩基底、光罩基底之製造方法、相移光罩、相移光罩之製造方法、及半導體裝置之製造方法
TWI673563B (zh) 光罩基底、相移光罩、相移光罩之製造方法及半導體裝置之製造方法
JP5900773B2 (ja) マスクブランク、転写用マスク、転写用マスクの製造方法、及び半導体デバイスの製造方法
JP6502143B2 (ja) マスクブランク、位相シフトマスク、位相シフトマスクの製造方法および半導体デバイスの製造方法
US10481485B2 (en) Mask blank, transfer mask, method of manufacturing transfer mask and method of manufacturing semiconductor device
TWI752119B (zh) 光罩基底、轉印用遮罩、轉印用遮罩之製造方法及半導體裝置之製造方法
JP6430155B2 (ja) マスクブランク、位相シフトマスク、位相シフトマスクの製造方法および半導体デバイスの製造方法
TW201814394A (zh) 光罩基底、相位偏移光罩、相位偏移光罩之製造方法及半導體裝置之製造方法
TWI741687B (zh) 光罩基底、光罩基底之製造方法、轉印用光罩之製造方法及半導體裝置之製造方法
WO2019188397A1 (ja) マスクブランク、位相シフトマスク及び半導体デバイスの製造方法
TW201805716A (zh) 光罩基底、相移光罩、相移光罩之製造方法及半導體裝置之製造方法
TW202305498A (zh) 光罩基底、相位偏移光罩之製造方法及半導體裝置之製造方法