TWI672753B - 用於使用經量測及經估計場參數之逐場覆蓋程序控制之系統及方法 - Google Patents

用於使用經量測及經估計場參數之逐場覆蓋程序控制之系統及方法 Download PDF

Info

Publication number
TWI672753B
TWI672753B TW104105932A TW104105932A TWI672753B TW I672753 B TWI672753 B TW I672753B TW 104105932 A TW104105932 A TW 104105932A TW 104105932 A TW104105932 A TW 104105932A TW I672753 B TWI672753 B TW I672753B
Authority
TW
Taiwan
Prior art keywords
fields
wafer
parameter values
field
mathematical model
Prior art date
Application number
TW104105932A
Other languages
English (en)
Other versions
TW201539601A (zh
Inventor
比爾 皮爾森
山姆根 瑞姆庫瑪 卡瑞
清秋 黃
艾迪 賴威
約翰 查爾斯 羅賓森
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201539601A publication Critical patent/TW201539601A/zh
Application granted granted Critical
Publication of TWI672753B publication Critical patent/TWI672753B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Abstract

本發明係關於一種判定一程序工具之至少一個可校正項之方法。在一實施例中,該方法包含下列步驟:量測在一晶圓之經量測場之一選集之各場之一或多個量測位置處之一或多個參數值;估計該晶圓之未量測場之一選集之各場之一或多個位置之一或多個參數值;及基於在該晶圓之經量測場之該選集之各場之該一或多個量測位置處量測之該一或多個參數值及針對該晶圓之未量測場之該選集之各場之該一或多個位置所估計之該一或多個參數值而判定一程序工具之至少一個可校正項。

Description

用於使用經量測及經估計場參數之逐場覆蓋程序控制之系統及方法
本發明大體係關於晶圓處理之领域,且更特定言之係關於提供對一晶圓處理工具之覆蓋控制之方法。
逐場校正(有時在本文中稱為每曝光之校正(CPE)方法)在高容量製造(HVM)生產中作為一額外、互補、控制環路經引入以補償來自一程序工具(諸如一微影步進器或掃描器)之系統性覆蓋晶圓程序簽章。使用線性項或高階控制可校正項之批次控制環路當前無法提供校正程序簽章所需之場級解析度。因此,CPE控制路徑已用來改良覆蓋效能,且已在2x nm HVM程序節點中被廣泛接受。然而歸因於對一完整晶圓場樣本計畫之需要,通常並不在一逐批基礎上判定CPE可校正項。替代地,逐場控制環路經維持與晶圓級解析度批次控制環路分離,且部分取決於晶圓程序穩定性極不頻繁地更新(例如,每周一次或兩次)。
除批次及逐場控制環路外,一掃描器基線控制環路常藉由程序工具供應商建議以校正程序工具之機械及光學漂移。基線控制環路週期性量測校準晶圓(諸如非產品預防性維護(PM)晶圓)以追蹤且校正機 器漂移且負責群匹配。當前在半導體製造中使用之許多程序工具依賴此等三個控制路徑:批次、逐場及掃描器基線。因此,程序工具之先進程序控制(APC)傾向於複雜且高成本的。再者,如上文提及,當前系統僅容許不頻繁之CPE更新,此相較於標準APC批次更新係相對費時的。
在一項態樣中,本發明係關於一種經由一整合式批次、逐場控制路徑提供標準APC批次更新及逐場CPE更新之方法。在一些實施例中,舉例而言,CPE可校正項可在一逐批基礎上使用本文中論述之該方法判定。為容許一批次設定中之CPE控制,該方法依賴於經量測及經估計參數之一混合。因此,僅需要一部分晶圓樣本計畫來產生該等逐場CPE可校正項。一般言之,該方法包含下列步驟:量測在一晶圓之經量測場之一選集之各場之一或多個量測位置處之一或多個參數值;估計該晶圓之未量測場之一選集之各場之一或多個位置之一或多個參數值;及基於在該晶圓之經量測場之該選集之各場之該一或多個量測位置處量測之該一或多個參數值及針對該晶圓之未量測場之該選集之各場之該一或多個位置所估計之一或多個參數值而判定一程序工具之至少一個可校正項。
在另一態樣中,本發明係關於一種用於判定一程序工具之至少一個可校正項之系統。在一實施例中,該系統包含至少一個計量工具及至少一個計算系統。該計算系統可包含於該計量工具中、與其以通信方式耦合或與其分離。該計量工具經組態以量測在一晶圓之經量測場之一選集之各場之一或多個量測位置處之一或多個參數值。該計算系統經組態以直接或間接自該計量工具接收該等量測,且進一步經組態以基於在該晶圓之經量測場之該選集之各場之該一或多個量測位置處量測之該一或多個參數值而產生該晶圓之未量測場之一選集之各場 之一或多個位置之一或多個參數值。該計算系統進一步經組態以基於在該晶圓之經量測場之該選集之各場之該一或多個量測位置處量測之該一或多個參數值及針對該晶圓之未量測場之該選集之各場之該一或多個位置所產生之該一或多個參數值而判定一程序工具之至少一個可校正項。
在又一態樣中,本發明係關於承載可由至少一個處理器執行之一指令集之一非暫時性載體媒體。該指令集可包含程式指令,其等使該處理器能夠:接收在一晶圓之經量測場之一選集之各場之一或多個量測位置處量測之一或多個參數值;基於在該晶圓之經量測場之該選集之各場之該一或多個量測位置處量測之該一或多個參數值產生該晶圓之未量測場之一選集之各場之一或多個位置之一或多個參數值;及基於在該晶圓之經量測場之該選集之各場之該一或多個量測位置處量測之該一或多個參數值及針對該晶圓之未量測場之該選集之各場之該一或多個位置所產生之該一或多個參數值而判定一程序工具之至少一個可校正項。
應理解前述大體說明及下列實施方式僅係例示性及闡釋性的,且並不必要限制本發明。併入該說明書中且構成該說明書之一部分之附圖圖解說明本發明之標的物。該等說明及該等圖式一起用來闡釋本發明之原理。
100‧‧‧系統
102‧‧‧晶圓/第二(後續)批晶圓
104‧‧‧計量工具
106‧‧‧計算系統
108‧‧‧程式指令
110‧‧‧載體媒體
112‧‧‧程序工具/處理工具
300‧‧‧例示性晶圓樣本計畫
302‧‧‧各場/經量測場
304‧‧‧指定點
400‧‧‧數學建模/建模
402‧‧‧第一(經量測)樣本集/經量測樣本集
404‧‧‧第二(經估計)樣本集/經估計樣本集
406‧‧‧逐場分析映射/分析映射
408‧‧‧逐場分析映射/分析映射
410‧‧‧完整(主)逐場分析集
500‧‧‧樣本集
502‧‧‧未量測場
504‧‧‧經取樣場/經量測場
504a‧‧‧經量測場
504b‧‧‧經量測場
504c‧‧‧經量測場
504d‧‧‧經量測場
504e‧‧‧經量測場
504f‧‧‧經量測場
506‧‧‧指定區域/指定鄰近區域/鄰近區域
600‧‧‧樣本集/經量測樣本集
602‧‧‧子集/第一子集
604‧‧‧子集/第二子集
606‧‧‧模型/各別模型/第一模型
608‧‧‧模型/各別模型/第二模型
700‧‧‧例示性逐場映射
702‧‧‧第一區/區
704‧‧‧第二區/區
熟習此項技術者可參考附圖更好地理解本發明之許多優點,其中:圖1係根據本發明之一實施例圖解說明用於判定一程序工具之至少一個可校正項之一系統之一方塊圖;圖2A係根據本發明之一實施例圖解說明判定一程序工具之至少一個可校正項之一方法之一流程圖;
圖2B係根據本發明之一實施例圖解說明基於取自一產品晶圓之量測及取自一校準晶圓之量測而判定一程序工具之至少一個可校正項之一方法之一流程圖;圖3根據本發明之一實施例圖解說明一部分晶圓樣本計畫;圖4根據本發明之一實施例圖解說明經由數學建模自一部分晶圓樣本集產生之一完整晶圓樣本集;圖5A根據本發明之一實施例圖解說明用於經由基於鄰近性之數學建模產生一或多個未量測場之一或多個樣本點之一部分晶圓樣本集;圖5B根據本發明之一實施例圖解說明用於經由基於鄰近性之數學建模產生一或多個未量測場之一或多個樣本點之一部分晶圓樣本集;圖5C根據本發明之一實施例圖解說明用於經由基於鄰近性之數學建模產生一或多個未量測場之一或多個樣本點之一部分晶圓樣本集;圖5D根據本發明之一實施例圖解說明用於經由基於鄰近性之數學建模產生一或多個未量測場之一或多個樣本點之一部分晶圓樣本集;圖6根據本發明之一實施例圖解說明經分解且饋入至複數個數學模型中之一樣本集;圖7A根據本發明之一實施例圖解說明由其中晶圓定位之一晶圓之區區分之場群組;及圖7B係根據本發明之一實施例展示指派給複數個模型之例示性權重之一表,其中根據數學模型之一各別加權而判定針對晶圓之一場群組之未量測場或未量測點估計之參數值。
現將詳細參考在附圖中圖解說明之所揭示之標的物。
圖1至圖7B大體圖解說明用於使用一整合式批次、逐場控制路徑判定一程序工具之可校正項之一系統及方法。為判定一批次設定中之逐場CPE可校正項,該方法依賴於經量測及經估計參數之一混合。因此,僅需要一部分晶圓樣本計畫來產生CPE可校正項。CPE更新已通常藉由完全取樣一晶圓(有時由1000或1000以上量測點構成)且產生完整晶圓之逐場校正執行。當前方法提供跨晶圓量測較少點之靈活性,但仍產生完整晶圓之逐場校正。
習用地,一計量程序(諸如覆蓋計量或臨界尺寸(CD)計量)在一半導體晶圓之固定位置處實行。接著,此等計量量測可用於計算用於校正一相關聯程序工具之校正(稱為「可校正項」),該相關聯程序工具用於執行半導體晶圓上之一既定程序。此等可校正項包含各種光學及/或機械調整,諸如光學漂移可校正項、機械漂移可校正項、覆蓋可校正項、劑量可校正項、焦點可校正項及類似者。可校正項及判定可校正項之方法在美國專利公開案第2011/0202298號及第2008/0316442號中進一步論述,該等案之全部內容以引用之方式併入。
當前方法依賴於經量測點之數學建模(例如,外推法及/或內插法)來估計非量測場之校正。有時貫穿本發明,特定參考一外推法或內插法技術;然而,除非內容脈絡需要特定性,否則熟習此項技術者將瞭解用以判定未量測場之參數值之經量測場參數之外推法、內插法、其等組合及/或任何其他數學建模之可互換性。如下文論述,各種方法及選項可用於計量工具(諸如K-T分析器)中以達成數學建模。在一些實施例中,來自一樣本計畫之經量測覆蓋可基於程序簽章分解為多個子集。接著,各子集可使用最佳表徵彼簽章之一獨有模型建模,且接著經建模至完整晶圓,其接著可分解為一逐場校正集。下文中進一步詳細論述之此等程序可經由一整合式計算系統或藉由一以通 信方式耦合或分離之計算系統在工具上(即,在計量工具或程序工具上)實行。
如貫穿本發明所使用,術語「晶圓」一般係指由一半導體或非半導體材料形成之一基板。舉例而言,一半導體或非半導體材料可包含但不限於單晶矽、砷化鎵或磷化銦。一晶圓可包含一或多個層。舉例而言,此等層可包含但不限於一抗蝕劑、一介電材料、一導電材料或一半導體材料。許多不同類型之此等層在此項技術中係已知的,且如本文中使用之術語晶圓意在囊括其上可形成所有類型之此等層之一晶圓。
一典型半導體程序包含按批进行之晶圓處理。如本文中使用,一「批」係在一起處理之一晶圓群組(例如,25個晶圓之群組)。批中之各晶圓包含來自微影處理工具(諸如步進器、掃描器及類似者)之複數個曝露場。在各場內可存在多個晶粒。一晶粒係最終變為一單一晶片之功能單元。在產品晶圓上,覆蓋計量標記通常放置於刻劃線區域中,舉例而言在場之4個隅角中。此係圍繞曝露場之周界(及晶粒外側)通常不含電路之一區。在一些例項中,覆蓋目標經放置於深蝕道中,該等深蝕道係在晶粒之間而非在場之周界處之區。覆蓋目標放置於主晶粒區域內之產品晶圓上係相當少見的,此乃因此區域極其需要電路。由於「刻劃線」計量標記與主晶粒電路之間的空間分離,故在產品晶圓上之所量測的與需要最佳化的之間出現差異。如上文論述,各種控制環路通常用於將程序保持於規定公差內。形成於一晶圓上之一或多個層可經圖案化或未圖案化。舉例而言,一晶圓可包含複數個晶粒,各晶粒具有可重複圖案化特徵。此等材料層之形成及處理可最終導致已完成裝置。許多不同類型之裝置可形成於一晶圓上,且如本文中使用之術語晶圓意在囊括其上製造此項技術已知之任何類型之裝置之一晶圓。
圖1圖解說明用於判定一程序工具112之一或多個可校正項之一系統100。在一些實施例中,逐場可校正項可在一逐批或批次基礎上由系統100判定。舉例而言,在一第一批晶圓之一晶圓102上執行之量測可用於在處理一第二(後續)批晶圓102期間或之前提供程序工具112之一或多個可校正項。替代地,一或多個可校正項可在處理第一批晶圓期間或之前前饋,即,應用至處理工具112。一般言之,熟習此項技術者將明瞭,可經由一前饋環路或一回饋環路中之任一者為程序工具112提供可校正項。
系統100可包含一計量工具104(諸如一覆蓋或CD計量系統),其經組態以量測在晶圓102之場之一選集之一或多個指定量測位置處之一或多個參數值。至少一個計算系統106可經組態以自計量工具104接收一或多個經量測參數值。計算系統106可進一步經組態以基於使用經量測參數值之至少一個數學建模產生晶圓之未量測或非量測場之一選集之一或多個位置之一或多個參數值。計算系統106可進一步經組態以基於包含經量測及經估計參數之一參數集(即,晶圓102之場之一完整樣本集)判定程序工具112之一或多個可校正項。
計算系統106可根據來自一以通信方式耦合之載體媒體110之由計算系統106之至少一個處理器執行之程式指令108執行本文中闡述之各種功能或操作。程式指令108可進一步包含實施下文關於方法200所闡述之步驟、功能及/或操作之一指令集。在一些實施例中,計算系統106與計量工具104以通信方式耦合或整合。如本文使用,術語「以通信方式耦合」可係指一直接(有線)連接、一無線連接、及/或一網路或開關連接。因此,計算系統106可進一步經組態以控制由計量工具104執行之樣本集或量測。在一些實施例中,計算系統106與程序工具112額外地或替代地以通信方式耦合或整合。因此,計算系統106可進一步經組態以根據所判定可校正項控制程序工具112。替代地,計算系 統106可自計量工具104及/或程序工具112完全分離,且經組態以經由一可攜式載體媒體(諸如一快閃磁碟機或一外部硬碟機)自計量工具104接收量測及/或為程序工具112提供可校正項。再者,系統100可包含根據前述實施例中之一或多者組態之複數個以通信方式耦合或分離之計算系統106。熟習此項技術者將瞭解,可在不脫離本發明之範疇的情況下對計算系統及/或任何其他控制器之配置及組態做出修改。
圖2A及圖2B係圖解說明判定一程序工具之一或多個可校正項之一方法200之一實施例之流程圖。在一些實施例中,可利用系統100來執行方法200以基於部分取樣晶圓102之場且經由數學建模估計未量測(即,未取樣)場而判定一或多個可校正項。方法200可藉由系統100之一實施例或藉由經組態以執行下列步驟中之一或多者之任何其他系統顯現。舉例而言,方法200之步驟可經由嵌入在儲存於至少一個載體媒體110上之程式指令108中之一或多個指令集實施。出於闡述性目的,將參考藉由圖3至圖7B圖解說明之例示性實施例;然而,方法200絕不限於圖3至圖7B圖解說明之特定樣本集、量測位置、模型、及/或值。
現參考圖2A,方法200包含量測在晶圓102之經量測場之一選集之各場之一或多個量測位置處之一或多個參數值之一步驟202。經量測場之選集可基於一預判定映射、一使用者選定映射或一隨機產生映射。在圖3中展示一例示性晶圓樣本計畫300。如可見,在經量測場之選集之各場302處(展示為包含代表樣本點之圓點之場),計量工具104可在一或多個指定點304處執行量測。因此,收集包含用於選集經量測場302之樣本資料之一量測集選集。
在一些實施例中,量測一相對小數目(例如,10%至25%)個晶圓場。如稍後論述,可基於經量測參數估計未量測場之剩餘選集之一樣本集。舉例而言,包含1000或1000以上量測位置(實質上覆蓋晶圓102 之所有場)之參數值之一完整樣本集可利用自經量測場之選集收集之少至一百或兩百樣本點而估計。完整樣本集(即,用於判定可校正項之集)可包含晶圓102之經取樣點之經量測參數值及未量測場之基於模型之參數值。完整樣本集可進一步包含場(即,經量測場及未量測場)中之各者之基於模型之參數值。舉例而言,一場之經個別量測位置可經建模以產生表徵該場之至少一個數學函數。使用此函數,可估計場內所有(經量測及未量測)點之覆蓋,且相關聯參數(例如,平移、選集旋轉、放大)可回饋或前饋至程序工具112。
在步驟204處,基於經取樣場之經量測參數值估計未量測場之參數值以容許判定可直接或間接(例如,經由一APC製造主機控制器)提供至程序工具112之逐場CPE可校正項。可基於經量測參數值使用一或多個數學模型來產生包含未量測場之參數值之一第二樣本集。在一些實施例中,第二樣本集僅包含未量測場之基於模型之參數值。接著,第一(經量測)及第二(經估計)樣本集經組合以產生一完整樣本集。替代地,基於模型之參數值在其等被判定時與第一樣本集整合。不管配置如何,經量測樣本及經估計樣本或自其等導出之逐場分析項最終經組合成一單一逐場分析映射,該單一逐場分析映射接著用於判定一或多個CPE可校正項。
在圖4中展示一數學建模400(例如,多項式外推法)之一例示性實施例。建模400利用包含經量測晶圓場之選集之參數值之一第一(經量測)樣本集402且基於一選定數學模型產生非量測晶圓場之一第二(經估計)樣本集404。在一些實施例中,選定數學模型係基於其表徵且校正程序簽章之能力而自複數個可用模型選取。在一些實施例中,由於程序簽章可在晶圓102上自區至區變化,因此使用複數個模型係有利的。此外,如將在下文中論述,兩個或兩個以上經加權模型可用來估計落入晶圓102之相同區內之一場群組之各場之參數值。逐場分析映 射406及408可分別基於經量測樣本集402及經估計樣本集404產生。接著,此等分析映射406及408可經組合為晶圓102之一完整(主)逐場分析集410。
在圖4中展示之數學建模400僅係可經執行以估計用於未量測晶圓場之逐場映射之參數值之建模之一項實例。舉例而言,在圖5A中圖解說明一帶狀建模技術(例如,帶狀外推法),其中使用來自在一未量測場502之特定鄰近內之經量測場之資料產生一樣本集500之一或多個場之參數值。舉例而言,來自未量測場502之參數值可自定位於包含未量測場502之晶圓102之一指定區域506內之經取樣場504之經量測參數值外推。各種晶圓區(例如,邊緣)可受局部程序變動影響,從而導致不佳覆蓋。此等局部變動有時難以利用線性或多項式建模來建模且需要以不同方式處理。因此,帶狀建模可有助於較佳裁適於晶圓102之特定區中之局部程序變動之逐場校正項之產生。
現觀察圖5B,可藉由平均化定位於指定區域506內之經量測場504a、504b、...504f之參數值而估計未量測場502之參數值。在一些實施例中,未量測場502之參數值係進一步基於經量測場504之參數值之一經加權平均化,其中經量測場504根據距未量測場502之其等各別距離而加權。指定鄰近區域506不限於任何特定形狀或定義。舉例而言,鄰近區域506之邊界可界定包含但不限於一矩形、圓形或橢圓區之一區,如分別在圖5B、圖5C及圖5D中展示。
除上文論述之數學建模技術外,此項技術中已知若干數學建模技術,諸如各種外推法、內插法及統計平均化技術。在一些實施例中,經量測參數值經輸入至數學模型之一混合,其中(舉例而言)至少一第一數學模型及一第二數學模型可用來分別產生定位於晶圓102之一第一區中之一第一未量測場群組及定位於晶圓102之一第二區中之一第二未量測場群組之參數值。此外,未量測場或該等場之至少一個 群組或子集之參數值可使用兩個或兩個以上經加權模型產生。如在圖6中展示,一樣本集600之經量測參數值可用作複數個數學模型(諸如模型606及608)之輸入以產生未量測場中之一些場或所有場(及經取樣場內之未量測點)之經估計參數值。
在一些實施例中,經量測樣本集600進一步分解為兩個或兩個以上子集,諸如子集602及604,其中各子集可與用於產生經建模參數值之模型中之一各別者相關聯。由於模型之各者及/或與模型中之各者相關聯之子集中之各者可更佳適合於晶圓102之一不同區處之程序簽章之表徵,故可指派不同權重至模型及/或樣本子集以用於估計或建模定位於晶圓102之不同區處之場之參數值。在一些實施例中,舉例而言,樣本集600之一第一子集602包含來自定位於晶圓102之一中心區中之場之量測且樣本集600之一第二子集604包含來自定位於更接近於邊緣之晶圓102之一外部區中之場之量測。子集602及604中之各者之參數值可用作至各別模型606及608之輸入。舉例而言,與晶圓102之一中心區相關聯之子集602之經量測參數值可經輸入至一第一模型606「模型A」,且與晶圓102之一外部(邊緣)區相關聯之子集604之經量測參數值可經輸入至一第二模型608「模型B」。熟習此項技術者將瞭解可使用任何數目個模型。舉例而言,一些模型可集中於右側/左側、頂部/底部區,或其等之一組合。一些模型可額外或替代地基於相同樣本集或子集,但依賴於不同數學建模技術。
圖7A展示一例示性逐場映射700,其中晶圓場經分佈為複數個群組或區(例如,至少一第一區702及一第二區704)。在一些實施例中,定位於晶圓102之一中心區內之未量測場(諸如第一區702中之彼等未量測場)之參數值可用多項式建模技術及/或使用與晶圓102之中心區相關聯之子集602之參數值來較佳地進行近似;然而,定位於晶圓邊緣處或其附近之未量測場(諸如第二區704中之彼等未量測場)之參數 值可用帶狀建模技術及/或使用與晶圓102之外部區相關聯之子集604之參數值來較佳地進行近似。因此,針對定位於第一區702內之場之一第一群組或子集而利用一第一數學模型(例如,「模型A」)且針對定位於第二區704內之場之一第二群組或子集而利用一第二數學模型(例如,「模型B」)係有利的。在一些情況中,依賴於可根據其等所感知效力加權之模型之一混合亦可係有益的。舉例而言,圖7B包含圖解說明其中根據數學模型之不同加權產生區702及704中之場之參數值之一實施例之一表,其中該等加權可基於經量測樣本集之一映射及/或基於先前量測之統計分析而係使用者指定的、預判定的、隨機產生的。
再次觀察圖2A及圖2B,在使用經量測及經建模參數值產生晶圓102之完整逐場分析集後,方法200繼續進行至步驟206,其中使用完整晶圓樣本集判定程序工具112之一或多個CPE可校正項。在一些實施例中,如在圖2B中展示,方法200進一步包含用於判定程序工具112之一或多個掃描器基線可校正項以基於在一校準(非產品)晶圓上執行之量測校正機械或光學漂移之步驟。在步驟208處,自校準晶圓之一或多個量測位置量測一或多個參數值。接著,在步驟210處,自校準晶圓收集之樣本集可用來判定一或多個掃描器基線可校正項。在步驟212處,一或多個CPE可校正項(在步驟202至206中使用一產品之經量測及經估計場參數判定)及一或多個掃描器基線可校正項(在步驟208至210中使用來自一校準晶圓之量測判定)可經組合以提供程序工具112之一經組合可校正輸入檔案。因此在一些實施例中,可使用在一批次基礎上提供逐場更新之一單一控制環路以及掃描器基線可校正項控制程序工具112。熟習此項技術者將易於瞭解藉由由本文闡述之系統及方法顯現之整合式控制環路提供之程序簽章之成本之減少及控制之改良。
熟習此項技術者將進一步瞭解,存在各種運載工具,程序及/或系統及/或本文闡述之其他技術可藉由各種運載工具(例如,硬體、軟體及/或韌體)達成,且較佳運載工具將隨其中部署程序及/或系統及/或其他技術之內容脈絡變化。在一些實施例中,藉由下列各項中之一或多者實行各種步驟、功能及/或操作:電子電路、邏輯閘極、場可程式化閘極陣列、多工器或計算系統。一計算系統可包含但不限於一個人計算系統、主機計算系統、工作站、影像電腦、平行處理器、或此項技術中已知之任何其他裝置。一般言之,術語「計算系統」經廣泛定義以囊括具有執行來自一載體媒體之指令之具有一或多個處理器之任何裝置。實施方法(諸如本文闡述之彼等方法)之程式指令可經由載體媒體傳輸或儲存於其上。一載體媒體可包含一傳輸媒體,諸如一電線、電纜、或無線傳輸鏈路。載體媒體亦可包含一儲存媒體,諸如一唯讀記憶體、一隨機存取記憶體、一磁碟或光碟或一磁帶。
本文闡述之所有方法可包含將方法實施例之一或多個步驟之結果儲存在一儲存媒體中。該等結果可包含本文闡述之結果中之任一者,且可以此項技術中已知之任何方式儲存。儲存媒體可包含本文闡述之任何儲存媒體或此項技術中已知之任何其他適合儲存媒體。在結果已儲存後,結果可在儲存媒體中存取且由本文闡述之方法或系統實施例中之任一者使用,經格式化以向一使用者顯示,由另一軟體模組、方法或系統等等使用。此外,結果可「永久」、「半永久」、暫時儲存或儲存達一段時間。舉例而言,儲存媒體可係隨機存取記憶體(RAM),且結果可不必無限期地存留於儲存媒體中。
儘管已圖解說明本發明之特定實施例,但顯而易見,熟習此項技術者可在不脫離前述揭示內容之範疇及精神的情況下對本發明之實施例做出各種修改。因此,本發明之範疇應僅由隨附至本發明之申請專利範圍限制。

Claims (17)

  1. 一種方法,其包括:以一計量工具量測在一晶圓上之複數個場之一第一場集(set of fields)之各場之一或多個量測位置處之一或多個參數值,其中該第一場集相較於整個該複數個場包含一較少數量之場;經由至少一數學模型估計該晶圓上之該複數個場之一第二場集之各場之一或多個位置之一或多個參數值,其中該第二場集相較於整個該複數個場包含一較少數量之場,其中該第一場集及該第二場集包含一或多個不同場;自在該晶圓上之該複數個場之該第一場集之各場之該一或多個量測位置處所量測之該一或多個參數值產生一第一分析映射;自針對該晶圓上之該複數個場之該第二場集之各場之該一或多個位置所估計之該一或多個參數值產生一第二分析映射;基於該第一分析映射及該第二分析映射針對一程序工具判定複數個可校正項,其中該一或多個參數值在判定該複數個可校正項之前被估計,其中該複數個可校正項包含用於一半導體裝置製造程序之校正之一或多個可校正項或用於該程序工具之校正之一或多個可校正項之至少一者;及基於該複數個可校正項提供一或多個控制信號至該程序工具以在該程序工具之校正期間控制一前饋環路或一回饋環路之至少一者,其中該晶圓係一第一批晶圓之一者,其中該前饋環路或該回饋環路之至少一者在處理一第二批晶圓之至少一晶圓之期間或之前經組態以調整該程序工具。
  2. 如請求項1之方法,其中估計該晶圓上之該複數個場之該第二場集之各場之該一或多個位置之該一或多個參數值包括:基於在該晶圓上之該複數個場之該第一場集之各場之該一或多個量測位置處所量測之該一或多個參數值之至少一些,以產生該晶圓上之該複數個場之該第二場集之各場之該一或多個位置之該一或多個參數值。
  3. 如請求項2之方法,其中該至少一數學模型包含至少一外推數學模型,其中基於該至少一個外推數學模型產生該晶圓上之該複數個場之該第二場集之各場之該一或多個位置之該一或多個參數值,其中在該晶圓上之該複數個場之該第一場集之各場之該一或多個量測位置處所量測之該一或多個參數值用作該至少一個外推數學模型之輸入。
  4. 如請求項3之方法,其中基於應用至該複數個場之該第二場集之一第一場子集之至少一第一外推數學模型及應用至該複數個場之該第二場集之一第二場子集之一第二外推數學模型,以產生該晶圓上之該複數個場之該第二場集之各場之該一或多個位置之該一或多個參數值,其中該第一外推數學模型係自該第二外推數學模型分離,其中該第一場子集相較於整個該第二場集包含一較少數量之場,其中該第二場子集相較於整個該第二場集包含一較少數量之場,其中該第一場子集及該第二場子集包含一或多個不同場。
  5. 如請求項4之方法,其中根據該第一外推數學模型及該第二外推數學模型之一第一加權產生該晶圓上之該複數個場之該第二場集之該第一場子集(subset of fields)之該一或多個位置之該一或多個參數值,其中根據該第一外推數學模型及該第二外推數學模型之一第二加權產生該晶圓上之該複數個場之該第二場集之 該第二場子集之各場之該一或多個位置之該一或多個參數值。
  6. 如請求項1之方法,其中該複數個可校正項包括該程序工具之至少一個光學調整。
  7. 如請求項1之方法,進一步包括:量測在一校準晶圓之一或多個量測位置處之一或多個參數值,其中用於該程序工具之該複數個可校正項係進一步基於在該校準晶圓之該一或多個量測位置處所量測之該一或多個參數值。
  8. 如請求項1之方法,其進一步包括:自該第一分析映射及該第二分析映射產生一經組合分析映射,其中該複數個可校正項自該經組合分析映射被判定,其中該第一分析映射係經測量之該一或多個參數值之一二維視覺表示,其中該第二分析映射係經由該至少一數學模型估計之該一或多個參數值之一二維視覺表示,其中該經組合分析映射係一經組合二維視覺表示。
  9. 如請求項1之方法,其中該複數個可校正項包含用於該半導體裝置製造程序之校正之一或多個可校正項及用於該程序工具之校正之一或多個可校正項。
  10. 一種系統,其包括:至少一個計量工具,其經組態以量測在一晶圓上之複數個場之一第一場集之各場之一或多個量測位置處之一或多個參數值,其中該第一場集相較於整個該複數個場包含一較少數量之場;及至少一個計算系統,其經組態以:基於在該晶圓上之該複數個場之該第一場集之各場之該一或多個量測位置處所量測之該一或多個參數值之至少一些, 以經由至少一數學模型估計該晶圓上之該複數個場之一第二場集之各場之一或多個位置之一或多個參數值,其中該第二場集相較於整個該複數個場包含一較少數量之場,其中該第一場集及該第二場集包含一或多個不同場;自在該晶圓上之該複數個場之該第一場集之各場之該一或多個量測位置處所量測之該一或多個參數值產生一第一分析映射,自針對該晶圓上之該複數個場之該第二場集之各場之該一或多個位置所估計之該一或多個參數值產生一第二分析映射;基於該第一分析映射及該第二分析映射針對一程序工具判定複數個可校正項,其中該一或多個參數值在判定該複數個可校正項之前被估計,其中該複數個可校正項包含用於一半導體裝置製造程序之校正之一或多個可校正項或用於該程序工具之校正之一或多個可校正項之至少一者;及基於該複數個可校正項提供一或多個控制信號至該程序工具以在該程序工具之校正期間控制一前饋環路或一回饋環路之至少一者,其中該晶圓係一第一批晶圓之一者,其中該前饋環路或該回饋環路之至少一者在處理一第二批晶圓之至少一晶圓之期間或之前經組態以調整該程序工具。
  11. 如請求項10之系統,其中該至少一數學模型包含至少一外推數學模型,其中該至少一個計算系統經組態以:利用作為該至少一個外推數學模型之輸入之在該晶圓上之該複數個場之該第一場集之各場之該一或多個量測位置處所量測之該一或多個參數值,基於該至少一個外推數學模型以產生該 晶圓上之該複數個場之該第二場集之該一或多個位置之該一或多個參數值。
  12. 如請求項11之系統,其中該至少一個數學模型包括應用至該複數個場之該第二場集之一第一場子集之至少一第一外推數學模型及應用至一第二場子集之一第二外推數學模型,其中該第一外推數學模型自該第二外推數學模型分離,其中該第一場子集相較於整個該第二場集包含一較少數量之場,其中該第二場子集相較於整個該第二場集包含一較少數量之場,其中該第一場子集及該第二場子集包含一或多個不同場。
  13. 如請求項12之系統,其中該至少一個計算系統進一步經組態以:根據該第一外推數學模型及該第二外推數學模型之一第一加權以產生在該晶圓上之該複數個場之該第二場集之該第一場子集之各場之該一或多個位置之該一或多個參數值;及根據該第一外推數學模型及該第二外推數學模型之一第二加權以產生在該晶圓上之該複數個場之該第二場集之該第二場子集之各場之該一或多個位置之該一或多個參數值。
  14. 如請求項10之系統,其中該至少一個計量工具進一步經組態以量測在一校準晶圓之一或多個量測位置處之一或多個參數值,其中針對該程序工具之該複數個可校正項係基於在該校準晶圓之該一或多個測量位置處所測量之該一或多個參數值。
  15. 如請求項10之系統,其中該至少一個計算系統進一步經組態以:自該第一分析映射及該第二分析映射產生一經組合分析映射,其中該複數個可校正項自該經組合分析映射被判定,其中該第一分析映射係經測量之該一或多個參數值之一二維視覺表 示,其中該第二分析映射係經由該至少一數學模型所估計之該一或多個參數值之一二維視覺表示,其中該經組合分析映射係一經組合二維視覺表示。
  16. 一種非暫時性載體媒體,其承載可由至少一個處理器執行之一指令集,該指令集包含用以使該至少一個處理器執行以下操作之指令:自一計量工具接收在一晶圓上之複數個場之一第一場集之各場之一或多個量測位置處所量測之一或多個參數值,其中該第一場集相較於整個該複數個場包含較少數量之場;基於在該晶圓上之該複數個場之該第一場集之各場之該一或多個量測位置處所量測之該一或多個參數值以經由至少一數學模型估計該晶圓上之該複數個場之一第二場集之各場之一或多個位置之一或多個參數值,其中該第二場集相較於整體該複數個場包含較少數量之場,其中該第一場集及該第二場集包含一或多個不同場;自在該晶圓上之該複數個場之該第一場集之各場之該一或多個量測位置處所量測之該一或多個參數值產生一第一分析映射;自針對該晶圓上之該複數個場之該第二場集之各場之該一或多個位置所估計之該一或多個參數值產生一第二分析映射;基於該第一分析映射及該第二分析映射針對一程序工具判定複數個可校正項,其中該一或多個參數值在判定該複數個可校正項之前被估計,其中該複數個可校正項包含用於一半導體裝置製造程序之校正之一或多個可校正項或用於該程序工具之校正之一或多個可校正項之至少一者;及 基於該複數個可校正項提供一或多個控制信號至該程序工具以在該程序工具之校正期間控制一前饋環路或一回饋環路中之至少一者,其中該晶圓係一第一批晶圓之一者,其中該前饋環路或該回饋環路之至少一者在處理一第二批晶圓之至少一晶圓之期間或之前經組態以調整該程序工具。
  17. 如請求項16之非暫時性載體媒體,其中該指令集進一步包含用以使該至少一個處理器執行以下操作之指令:自該第一分析映射及該第二分析映射產生一經組合分析映射,其中該複數個可校正項自該經組合分析映射被判定,其中該第一分析映射係經測量之該一或多個參數值之一二維視覺表示,其中該第二分析映射係經由該至少一數學模型所估計之該一或多個參數值之一二維視覺表示,其中該經組合分析映射係一經組合二維視覺表示。
TW104105932A 2014-02-21 2015-02-24 用於使用經量測及經估計場參數之逐場覆蓋程序控制之系統及方法 TWI672753B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/186,744 US10466596B2 (en) 2014-02-21 2014-02-21 System and method for field-by-field overlay process control using measured and estimated field parameters
US14/186,744 2014-02-21

Publications (2)

Publication Number Publication Date
TW201539601A TW201539601A (zh) 2015-10-16
TWI672753B true TWI672753B (zh) 2019-09-21

Family

ID=53879044

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104105932A TWI672753B (zh) 2014-02-21 2015-02-24 用於使用經量測及經估計場參數之逐場覆蓋程序控制之系統及方法

Country Status (3)

Country Link
US (1) US10466596B2 (zh)
TW (1) TWI672753B (zh)
WO (1) WO2015127252A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2009853A (en) * 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
KR102269301B1 (ko) * 2015-03-13 2021-06-25 에이에스엠엘 네델란즈 비.브이. 리소그래피 방법 및 리소그래피 장치
US10754260B2 (en) * 2015-06-18 2020-08-25 Kla-Tencor Corporation Method and system for process control with flexible sampling
WO2017153171A1 (en) * 2016-03-11 2017-09-14 Asml Netherlands B.V. Method of calculating corrections for controlling a manufacturing process, metrology apparatus, device manufacturing method and modeling method
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
US10503850B2 (en) * 2016-11-22 2019-12-10 Tokyo Electron Limited Generation of a map of a substrate using iterative calculations of non-measured attribute data
KR102370271B1 (ko) * 2016-12-28 2022-03-04 에이에스엠엘 네델란즈 비.브이. 제조 공정 시 공정 모델들의 안내 및 검사 방법들
US10082471B2 (en) 2017-01-02 2018-09-25 United Microelectronics Corp. Semiconductor structure and method for reviewing defects
US10788806B2 (en) * 2018-07-09 2020-09-29 Globalfoundries Inc. Initializing individual exposure field parameters of an overlay controller
US11967535B2 (en) * 2021-04-13 2024-04-23 Kla Corporation On-product overlay targets

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110196646A1 (en) * 2008-07-14 2011-08-11 Asml Netherlands B.V. Alignment System, Lithographic System and Method
US8175831B2 (en) * 2007-04-23 2012-05-08 Kla-Tencor Corp. Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6868301B1 (en) * 2003-02-11 2005-03-15 Kla-Tencor Corporation Method and application of metrology and process diagnostic information for improved overlay control
US8773657B2 (en) 2004-02-23 2014-07-08 Asml Netherlands B.V. Method to determine the value of process parameters based on scatterometry data
WO2008151083A1 (en) 2007-05-30 2008-12-11 Kla-Tencor Corporation Feedforward/feedback litho process control of stress and overlay
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
JP5237690B2 (ja) 2008-05-16 2013-07-17 ルネサスエレクトロニクス株式会社 半導体デバイスの製造方法
NL2004887A (en) * 2009-06-24 2010-12-27 Asml Netherlands Bv Method for selecting sample positions on a substrate, method for providing a representation of a model of properties of a substrate, method of providing a representation of the variation of properties of a substrate across the substrate and device manufacturing method.
US9620426B2 (en) 2010-02-18 2017-04-11 Kla-Tencor Corporation Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation
US9052709B2 (en) 2010-07-30 2015-06-09 Kla-Tencor Corporation Method and system for providing process tool correctables
US8626328B2 (en) * 2011-01-24 2014-01-07 International Business Machines Corporation Discrete sampling based nonlinear control system
US8704176B2 (en) * 2011-08-10 2014-04-22 Fei Company Charged particle microscope providing depth-resolved imagery

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8175831B2 (en) * 2007-04-23 2012-05-08 Kla-Tencor Corp. Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
US20110196646A1 (en) * 2008-07-14 2011-08-11 Asml Netherlands B.V. Alignment System, Lithographic System and Method

Also Published As

Publication number Publication date
US10466596B2 (en) 2019-11-05
TW201539601A (zh) 2015-10-16
US20150241790A1 (en) 2015-08-27
WO2015127252A1 (en) 2015-08-27

Similar Documents

Publication Publication Date Title
TWI672753B (zh) 用於使用經量測及經估計場參數之逐場覆蓋程序控制之系統及方法
JP5808347B2 (ja) プロセスツールの補正値を与える方法及びシステム
TWI524374B (zh) 模型化臨界尺寸掃描電子顯微鏡抽取的方法
KR101943593B1 (ko) 공정 제어를 개선하기 위한 품질 메트릭 제공 방법 및 시스템
TWI591342B (zh) 計量工具、用於校準計量工具之方法、用於使比例因數與目標缺陷相關聯之方法、用於偵測目標缺陷類型之方法及相關非暫時性電腦可讀媒體
JP5911489B2 (ja) プロセスツール修正値を提供するための方法およびシステム
US11120182B2 (en) Methodology of incorporating wafer physical measurement with digital simulation for improving semiconductor device fabrication
TW201740220A (zh) 用於檢測及度量衡的方法與設備
US8793638B2 (en) Method of optimizing design for manufacturing (DFM)
CN108966674A (zh) 用于薄膜中测量的混合测量系统及方法
US7829354B2 (en) Method of fusing trimming for semiconductor device
TWI807442B (zh) 程序控制之晶粒內度量衡方法及系統
TWI582539B (zh) 用於改良程序控制之品質度量的方法及系統
US10534275B2 (en) Method for use in process control of manufacture of patterned sample
TWI747875B (zh) 重疊方差穩定方法及系統
US20210217581A1 (en) Tem-based metrology method and system
US20120110522A1 (en) Pattern Recognition with Edge Correction for Design Based Metrology
TWI748110B (zh) 在成像技術中估計振幅及相位不對稱性以用於在疊對計量中達到高精準度
JP5576807B2 (ja) シート抵抗の測定方法及びシート抵抗測定装置
TW202032686A (zh) 用於精確度量衡量測之每位點殘量分析
TWI833043B (zh) 執行半導體計量之方法、相關聯之非暫時性電腦可讀儲存媒體及半導體檢測系統
US11417552B2 (en) Method and device for determining a feature for devices produced on a wafer
CN103943525B (zh) 一种生成离线辅助程式方案的方法
Subramany et al. CPE run-to-run overlay control for high volume manufacturing
Chang et al. Scribe Line Self Reference Targets to enable Accurate and Robust After-Etch Overlay Metrology of Active layer