JP5911489B2 - プロセスツール修正値を提供するための方法およびシステム - Google Patents

プロセスツール修正値を提供するための方法およびシステム Download PDF

Info

Publication number
JP5911489B2
JP5911489B2 JP2013523203A JP2013523203A JP5911489B2 JP 5911489 B2 JP5911489 B2 JP 5911489B2 JP 2013523203 A JP2013523203 A JP 2013523203A JP 2013523203 A JP2013523203 A JP 2013523203A JP 5911489 B2 JP5911489 B2 JP 5911489B2
Authority
JP
Japan
Prior art keywords
correction values
process tool
tool correction
wafer
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013523203A
Other languages
English (en)
Other versions
JP2013534368A (ja
Inventor
ガイ コーヘン
ガイ コーヘン
ダナ クライン
ダナ クライン
パベル イジクソン
パベル イジクソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2013534368A publication Critical patent/JP2013534368A/ja
Application granted granted Critical
Publication of JP5911489B2 publication Critical patent/JP5911489B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G16INFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR SPECIFIC APPLICATION FIELDS
    • G16ZINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR SPECIFIC APPLICATION FIELDS, NOT OTHERWISE PROVIDED FOR
    • G16Z99/00Subject matter not provided for in other main groups of this subclass
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32182If state of tool, product deviates from standard, adjust system, feedback
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • General Factory Administration (AREA)

Description

〔関連出願の相互参照〕
本願は、以下にリストする出願(「関連出願」)から最早の利用可能な有効出願日の利益に関連し、それを主張する(例えば、仮特許出願以外に対して最早の可能な優先日を主張するか、または仮特許出願に対して、関連出願の親、その親、その親の親などの出願のいずれかおよび全てに対して、米国特許法35 USC §119(e)に基づき請求する)。
関連出願:
USPTO(米国特許商標局)の法定外要件のため、本願は、「NOVEL METHOD TO DETERMINE OPTIMAL SET OF OVERLAY CORRECTABLES USING SUPPORT VECTOR MACHINE ALGORITHM」という名称で、発明者としてPavel Iziksonを指定し、2010年7月30に出願された、出願番号61/369,584号の米国仮特許出願の正規の(仮でない)特許出願を構成する。
本発明は、一般に、半導体プロセスツール修正値(process tool correctable)をプロセスツールに提供するための方法およびシステムに関する。
論理デバイスおよびメモリデバイスなどの半導体デバイスの製造は、通常、多数の半導体製造プロセスを用いて、半導体ウェハーなどの基板を加工することで、半導体デバイスの様々な特徴および複数のレベルを形成することを含む。例えば、リソグラフィは、パターンをレチクルから半導体ウェハー上に配置されたレジストに転写することを伴う半導体製造プロセスである。半導体製造プロセスの追加の例には、化学機械研磨(CMP)、エッチング加工、成膜(deposition)およびイオン注入を含むが、それらに限定されない。複数の半導体デバイスが、単一の半導体ウェハー上の配列で製造され得、次いで、個々の半導体デバイスに分離され得る。
半導体製造プロセス中の様々なステップにおいて、1つまたは複数の半導体層(レイヤー)プロセスをモニタおよび制御するために、計測プロセスが使用される。例えば、計測プロセスは、プロセスステップ中にウェハー上に形成される特徴の寸法(例えば、線幅、厚さなど)など、1つまたは複数のウェハーの特性を測定するために使用され、そのプロセスステップの品質は、1つまたは複数の特性を測定することによって判断できる。1つのかかる特性は、オーバーレイエラーを含む。オーバーレイ測定値は、一般に、第1のパターン層が、その上もしくは下に配置された第2のパターン層に関してどの程度正確に位置合わせされるか、または第1のパターンが、同一の層上に配置された第2のパターンに関してどの程度正確に位置合わせされるかを指定する。オーバーレイエラーは、通常、1つまたは複数のワークピースの層(例えば、半導体ウェハー)上に形成された構造を有するオーバーレイターゲットで決定される。その構造は、格子の形をとり、これらの格子は、周期的であり得る。2つの層またはパターンが適切に形成されると、1つの層またはパターン上の構造が、他の層またはパターン上の構造に関連して位置合わせされる傾向がある。2つの層またはパターンが適切に形成されなければ、1つの層またはパターン上の構造は、他の層またはパターン上の構造に関連してオフセットされるか、または正しく位置合わせされない傾向がある。オーバーレイエラーは、半導体集積回路製造の異なる段階で使用される任意のパターン間のずれである。従来の方式では、ダイおよびウェハーにわたる変動の理解は、一定のサンプリングに限定されており、従って、オーバーレイエラーは、既知の選択されたサイトに対してのみ検出される。
さらに、ウェハーの、オーバーレイエラーなどの測定された特性が許容できない(例えば、特性に対する所定範囲外)場合、1つまたは複数の特性の測定値が、プロセスの1つまたは複数のパラメータを変更するために使用され得、そのプロセスによって製造された追加のウェハーが許容可能な特性を有するようにする。
オーバーレイエラーの場合、オーバーレイエラーを所望の範囲内に保つため、オーバーレイ測定値が、リソグラフィプロセスを訂正するために使用され得る。例えば、オーバーレイ測定値は、「修正値(correctable)」および他の統計値を計算する分析ルーチンに供給され得、それは、ウェハー加工で使用されるリソグラフィツールをより良く位置合わせするためにオペレータによって使用され得る。
プロセスツール修正値を1つまたは複数のプロセスツールに提供するための方法が開示される。一態様では、方法は、第1の測定プロセスを1つのロットのウェハーの1つのウェハーについて実行することであって、その第1の測定プロセスがそのロットのウェハーのそのウェハーの1つまたは複数のフィールドにわたって分散した複数のターゲットの1つまたは複数の特性を測定することを含むこと;損失関数を利用して、選択された閾値レベルよりも大きい残差に対してプロセスツール修正値のセットを決定することであって、その損失関数が、1つまたは複数のプロセスツールのためのモデルをフィールド位置に応じて、複数のターゲットの1つまたは複数の測定された特性に適合させるように構成され、プロセスツール修正値のセットが残差の絶対値と選択された閾値との間の差を最小限にする働きをするモデルの1つまたは複数のパラメータを含むこと;および、1つまたは複数のプロセスツールの1つまたは複数のプロセスをモニタまたは調整するために、プロセスツール修正値の決定されたセットを利用することを含むが、それらに限定されない。
別の態様では、方法は、第1の測定プロセスを第1のロットのウェハーの1つのウェハーに関して実行することであって、その第1の測定プロセスがその第1のロットのウェハーのそのウェハーの1つまたは複数のフィールドにわたって分散した複数のターゲットの1つまたは複数の特性を測定することを含むこと;残差が選択された閾値レベルよりも大きい場合に第1のセットのプロセスツール修正値を、残差が選択された閾値レベルよりも小さい場合に第2のセットのプロセスツール修正値を計算するように構成された損失関数を利用して、第1および第2のセットのプロセスツール修正値を決定することであって、その損失関数が、1つまたは複数のプロセスツールのためのモデルをフィールド位置に応じて、複数のターゲットの1つまたは複数の測定された特性に適合させるように構成され、第1のセットのプロセスツール修正値が、スケーリングされた残差と選択された閾値との間の差を最小限にするモデルの1つまたは複数のパラメータを含み、第2のセットのプロセスツール修正値が、スケーリングされた残差を最小限にするモデルの1つまたは複数のパラメータを含むこと;ならびに、1つまたは複数のプロセスツールの1つまたは複数のプロセスをモニタまたは調整するために、決定された、第1のセットのプロセスツール修正値および第2のセットのプロセスツール修正値を利用することを含むが、それらに限定されない。
別の態様では、方法は、第1の測定プロセスを第1のロットのウェハーの1つのウェハーについて実行することであって、その第1の測定プロセスが、その第1のロットのウェハーのそのウェハーの1つまたは複数のフィールドにわたって分散した複数のターゲットの1つまたは複数の特性を測定することを含むこと;選択された閾値レベルよりを下回る残差に対してプロセスツール修正値のセットを計算するように構成された損失関数を利用して、プロセスツール修正値のセットを決定することであって、その損失関数が、1つまたは複数のプロセスツールのためのモデルをフィールド位置に応じて、複数のターゲットの1つまたは複数の測定された特性に適合させるように構成され、その依存性曲線の1つまたは複数のパラメータが残差の二乗を最小限にする働きをすること;および、1つまたは複数のプロセスツールの1つまたは複数のプロセスをモニタまたは調整するために、プロセスツール修正値の決定されたセットを利用することを含むが、それらに限定されない。
前述の概要および以下の詳細な説明はともに、例示的かつ説明的なものに過ぎず、請求されるように本発明を必ずしも制限するものではないことを理解されたい。添付の図は、本明細書に組み込まれ、その一部を構成するが、本発明の実施形態を示し、概要とともに、本発明の本質を説明するのに役立つ。
本開示の多数の利点は、当業者によって添付の図を参照することにより、さらに良く理解され得る。
分画されたフィールドをもつ半導体ウェハーの上面図を示す。 フィールド内の複数のターゲットを示す半導体ウェハーの個々のフィールドの上面図を示す。 本発明の一実施形態に従って、プロセスツール修正値を1つまたは複数のプロセスツールに提供するためのシステムを示すブロック図である。 本発明の一実施形態に従って、プロセスツール修正値を1つまたは複数のプロセスツールに提供するための方法を示す流れ図である。 本発明の一実施形態に従って、損失関数に基づき従来型のMLSアルゴリズムおよび新規のサポートベクターマシンを介して導出される1対の残差分布を示す。 本発明の一実施形態に従って、損失関数に基づき従来型のMLSアルゴリズムおよび新規のサポートベクターマシンを介して導出される1対の残差分布を示す。 本発明の一実施形態に従って、修正値と対比した、2つの異なる標準偏差閾値、Th1およびTh2に対するサンプリング数を示すデータセットである。 本発明の一実施形態に従って、プロセスツール修正値を1つまたは複数のプロセスツールに提供するための方法を示す流れ図である。 本発明の一実施形態に従って、プロセスツール修正値を1つまたは複数のプロセスツールに提供するための方法を示す流れ図である。
ここで、開示される主題に対する参照が詳細に行われ、それは、添付の図内で説明される。
図1A〜図7をおおまかに参照すると、プロセスツール修正値を1つまたは複数のプロセスツールに提供するための方法およびシステムが、本開示に従って説明される。従来の方式では、オーバーレイ計測または限界寸法(CD)計測などの、計測プロセスが、半導体ウェハーの固定場所で実行される。これらの計測測定値は、次いで、半導体ウェハーについて所与のプロセスを実行するために使用される関連するプロセスツールを修正するために使用される、「修正値」として知られる、修正を計算するために使用され得る。これらの修正値には、オーバーレイ修正値、線量(dose)修正値、および焦点修正値を含む。本発明は、修正値を1つまたは複数のプロセスツールに提供するための新たな方法およびシステムを対象とする。
本開示を通して使用されるように、「修正値(correctable)」という用語は、一般に、オーバーレイ性能に関する後のリソグラフィパターニングの制御を改善するため、リソグラフィツールまたはスキャナツールの位置合わせを修正するために使用され得るデータを指す。一般的な意味で、修正値は、プロセスツールの位置合わせを改善するためのフィードバックおよびフィードフォワードを提供することにより、ウェハープロセスが、事前に定義された所望の制限内で続行できるようにする。
本開示を通して使用されるように、「ウェハー(wafer)」という用語は、一般に、半導体または非半導体材料から形成される基板を指す。例えば、半導体または非半導体材料は、単結晶シリコン、ガリウムヒ素、およびリン化インジウムを含むが、それらに限定されない。ウェハーは、1つまたは複数の層を含み得る。例えば、かかる層は、レジスト、誘電体、導電体、および半導体材料を含むが、それらに限定されない。多くの異なるタイプのかかる層が当技術分野で周知であり、ウェハーという用語は、本明細書で使用されるように、全てのタイプのかかる層がその上に形成され得るウェハーを包含するように意図される。
標準的な半導体プロセスは、ロット単位でのウェハー加工を含む。本明細書では、「ロット(lot)」は、一緒に処理されるウェハーのグループ(例えば、25ウェハーのグループ)である。ロット内の各ウェハーは、リソグラフィ加工ツール(例えば、ステッパ(stepper)、スキャナなど)からの多数の露光フィールドで構成される。各フィールド内に複数のダイが存在し得る。ダイは、最終的に単一のチップになる機能単位である。製品ウェハー上には、通常、オーバーレイ測定ターゲットがスクライブライン領域(例えば、そのフィールドの4つの隅内)に配置される。これは、通常、露光フィールドの周辺(およびダイの外部)に電気回路がない領域である。いくつかの状況では、オーバーレイターゲットがストリート内に配置されるが、それは、ダイ間であるが、フィールドの周辺ではない領域である。オーバーレイターゲットが、主要なダイ領域内の製品ウェハー上に配置されることは、この領域が電気回路用にクリティカルに必要とされるため、かなり稀である。しかし、エンジニアリングおよび評価(characterization)ウェハー(製品ウェハーではない)は、通常、かかる制限が関与しないフィールドの中心全体に、多数のオーバーレイターゲットを有する。「スクライブライン」計測マークと主要なダイ回路との間の空間分離のために、製品ウェハー上で、測定されるものと最適化される必要のあるものとの間に相違が生じる。スクライブライン計測マークおよびそれらの解釈の両方における進歩が求められる。
ウェハー上で形成された1つまたは複数の層は、パターンがあるか、またはパターンがない可能性がある。例えば、ウェハーは、複数のダイを含み得、各々が反復可能なパターンのある特徴を有する。かかる材料の層の形成および加工は、最終的に完成したデバイスという結果になり得る。多数の異なるタイプのデバイスがウェハー上に形成され得、本明細書で使用するように、ウェハーという用語は、当技術分野で周知の任意のタイプのデバイスがその上に製作されているウェハーを包含するように意図される。
図2は、プロセスツール修正値を1つまたは複数のプロセスツールに提供するためのシステム200を示す。一実施形態では、システム200は、半導体ウェハー204の識別された場所においてオーバーレイ測定またはCD測定を実行するように構成された計測システム204などの測定システム202を含み得る。計測システム204は、限界寸法(CD)計測に適した計測システムなどであるが、それらに限定されず、当技術分野で周知の任意の適切な計測システムを含み得る。さらなる実施形態では、計測システム204は、指定された計測計画を実行するために、システム200の別のサブシステムから命令を受け入れるように構成され得る。例えば、計測システム204は、システム200の1つまたは複数のコンピュータシステム208から命令を受け入れ得る。コンピュータシステム208から命令を受信すると、計測システム204は、提供された命令内で識別された半導体ウェハー206の場所でオーバーレイ計測またはCD測定を実行し得る。後で説明するように、コンピュータシステム208によって提供される命令は、所与のプロセスツールの1つまたは複数のセットのプロセスツール修正値を決定するように構成された損失関数アルゴリズム(例えば、サポートベクターマシンアルゴリズム)を含み得る。本発明の損失関数の様々な実施形態の特定の態様が、本明細書でさらに詳述される。コンピュータシステム208によって計算された修正値は、次いで、システム200のスキャナツールまたはリソグラフィツールなどの、プロセスツールに対するフィードバックであり得る。
一実施形態では、1つまたは複数のコンピュータシステム208は、1つのロットの1つまたは複数のウェハーのサンプリングプロセスで、測定システム202(例えば、計測システム204)によって実行された測定値のセットを受信するように構成され得る。1つまたは複数のコンピュータシステム208は、サンプリングプロセスから受信した測定値を使用して、プロセスツール修正値のセットを計算するようにさらに構成され得る。その上、1つまたは複数のコンピュータシステム208は、次いで、プロセスツールを調整するために、命令を関連するプロセスツール(例えば、スキャナツールまたはリソグラフィツール)に送信し得る。代替および/または追加として、コンピュータシステム208は、システムの1つまたは複数のプロセスツールをモニタするために利用され得る。この意味で、万一、残差分布の残差が所定のレベルを超えた場合、コンピュータシステム208は、そのロットのウェハーを「不合格(fail)」にし得る。同様に、そのロットのウェハーは「再加工(reworked)」され得る。
前述したステップは、単一のコンピュータシステム208、あるいは、複数のコンピュータシステム208によって実行され得ることが理解されるべきである。さらに、計測システム204など、システム200の異なるサブシステムは、前述したステップの少なくとも一部を実行するのに適したコンピュータシステムを含み得る。従って、前述の説明は、本発明に関する制限として解釈されるべきではなく、単なる例証である。
別の実施形態では、1つまたは複数のコンピュータシステム208は、本明細書でさらに説明する様々な損失関数の1つまたは複数から導出されたプロセスツール修正値を示す命令を、1つまたは複数のプロセスツールに送信し得る。さらに、送信された命令は、オーバーレイ、焦点、および線量の修正値を示す情報を含み得る。さらに、1つまたは複数のコンピュータシステム208は、本明細書に記載する任意の方法実施形態の任意の他のステップを実行するように構成され得る。
別の実施形態では、コンピュータシステム208は、当技術分野で周知の任意の方法で、測定システム202またはプロセスツールと通信的に結合され得る。例えば、1つまたは複数のコンピュータシステム208は、測定システム202のコンピュータシステム(例えば、計測システム204のコンピュータシステム)またはプロセスツールのコンピュータシステムに結合され得る。別の例では、測定システム202およびプロセスツールは、単一のコンピュータシステムによって制御され得る。このように、システム200のコンピュータシステム208は、単一の計測/プロセスツールのコンピュータシステムに結合され得る。さらに、システム200のコンピュータシステム208は、有線および/または無線部分を含み得る伝送媒体によって、他のシステムからデータまたは情報(例えば、検査システムから検査結果、計測システムから計測結果、もしくは、KLA−Tencor’s KT Analyzerなどのシステムから計算されたプロセスツール修正値)を受信および/または取得するように構成され得る。このように、伝送媒体は、コンピュータシステム208とシステム200の他のサブシステムとの間のデータリンクとして機能し得る。さらに、コンピュータシステム208は、伝送媒体を介して、データを外部システムに送信し得る。例えば、コンピュータシステム208は、計算されたプロセスツール修正値を別個の計測システムに送信し得、そのシステムは、説明したシステム200とは独立して存在する。
コンピュータシステム208は、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、画像コンピュータ、並列プロセッサ、または当技術分野で周知の任意の他の装置を含み得るが、それらに限定されない。一般に、「コンピュータシステム」という用語は、1つまたは複数のプロセッサを有する任意の装置を包含するように広範に定義され得、それらは、メモリ媒体からの命令を実行する。
本明細書に記載されているような方法を実施するプログラム命令212は、キャリア媒体210を経由して送信され得るか、またはその上に格納され得る。キャリア媒体は、ワイヤー、ケーブル、または無線の伝送リンクなどの伝送媒体であり得る。キャリア媒体は、読取り専用メモリ、ランダムアクセスメモリ、磁気もしくは光ディスク、または磁気テープなどの記憶媒体も含み得る。
図2に示すシステム200の実施形態は、本明細書に記載するようにさらに構成され得る。さらに、システム200は、本明細書に記載する任意の方法実施形態の任意の他のステップを実行するように構成され得る。
図3は、プロセスツール修正値を1つまたは複数のプロセスツールに提供するための方法300で実行されるステップを示す流れ図である。第1のステップ302では、第1の測定プロセスが、1つのロットのウェハーの1つのウェハーの1つまたは複数のフィールドにわたって分散した複数のターゲットの1つまたは複数の特性を測定し得る。
一実施形態では、第1の測定プロセスは、計測プロセスを含み得る。計測プロセスは、オーバーレイ測定、CD測定、線量測定、または焦点測定を含むが、それらに限定されず、本明細書に記載する任意の計測プロセスを含み得る。別の実施形態では、測定された特性は、計測測定プロセスを利用して測定され得る任意の量を含み得る。例えば、測定された特性は、オーバーレイエラー、CD値(例えば、CD線幅、特徴形状、プロファイル情報、および同様のもの)、線量、または焦点を含み得るが、それらに限定されない。
一実施形態では、方法300は、1つまたは複数のウェハー上の複数の測定点において、少なくとも1つのロットのウェハー内の1つまたは複数のウェハーに関して測定を実行することを含む。測定点は、1つまたは複数のウェハー102上の1つまたは複数のフィールド104を含み得る。例えば、図1に示すように、ウェハー102は、その上に形成された複数のフィールド104を有する。図1では、ウェハー102上に特定の数および配置のフィールド104が示されているが、ウェハー上のフィールドの数および配置は、例えば、そのウェハー上に形成されているデバイスによって異なり得る。測定は、ウェハー102上に形成された複数のフィールド104および少なくとも第1のロット内の他のウェハー上の複数のフィールドにおいて実行され得る。測定は、そのフィールド内で形成されたデバイス構造および/またはそのフィールド内で形成されたテスト構造に関して実行され得る。さらに、各フィールド内で実行された測定は、計測プロセス(例えば、1つまたは複数の異なる測定)中に実行された全ての測定を含み得る。
別の実施形態では、サンプリングプロセスで測定された全ての測定点は、所与のロット内のウェハーの各測定されたフィールドをもつ複数のターゲットを含み得る。例えば、図1Aに示すように、ウェハー102上に形成されたフィールド104は、複数のターゲット106を含み得る。図1Bでは、特定の数および配置のターゲット106がフィールド104内に示されているが、フィールド104内のターゲット106の数および配置は、例えば、ウェハー102上に形成されているデバイスによって異なり得る。ターゲット106は、デバイス構造および/またはテスト構造を含み得る。この実施形態では、従って、測定は、各フィールド104内に形成された任意の数のターゲット106に関して実行され得る。測定は、計測プロセス中に実行される全ての測定(例えば、1つまたは複数の異なる測定)も含み得る。
別の実施形態では、サンプリングステップで実行された測定の結果は、測定プロセスにおける変動に関する情報を含む。測定における変動は、当技術分野で周知の任意の方法(例えば、標準偏差、変動量など)で決定され得る。測定における変動は、通常、プロセスまたはプロセス逸脱における変動を示すので、サンプリングステップで測定されるウェハーのロット数は、プロセスまたはプロセス逸脱によって異なり得る。このステップで識別または判断される変動の源は、オーバーレイ変動、限界寸法(CD)変動、ウェハーの他の特性における変動、ロット間変動、ウェハー間変動、フィールド間変動、側面間(side−to−side)変動、変動の統計源、および同様のもの、またはそれらの任意の組合せを含むが、それらに限定されず、任意の変動源を含み得る。
本発明における実施態様に適した測定プロセスおよびシステムは、2008年4月22日に出願された米国特許出願第12/107,346号に大まかに記載されており、それは、参照によって上記で組み込まれている。
第2のステップ304では、選択された閾値レベルよりも大きい残差に対するプロセスツール修正値のセットが、ステップ302の測定結果を使用して、損失関数によって決定され得る。一態様では、損失関数は、1つまたは複数のプロセスツールのためのモデルをフィールド位置に応じて、ステップ302の1つまたは複数の測定された特性に適合させるように構成される。別の態様では、プロセスツール修正値の計算されたセットは、残差の絶対値と選択された閾値との間の差を最小限にする働きをするモデルのパラメータ値を含む。第3のステップ306では、プロセスツール修正値の決定されたセットが、1つまたは複数のプロセスツールをモニタまたは調整するために利用され得る。
ステップ302の測定された特性(例えば、オーバーレイエラーまたはPPE)が、後のリソグラフィプロセスの制御を向上させるため、およびリソグラフィパターンの品質が所定の要件を満足するか否かを判断するために、利用され得ることが当業者によって認識されるべきである。一実施形態では、オーバーレイまたはCD計測データが、測定されたウェハー102の各フィールド104に対するオーバーレイ修正値、線量修正値、または焦点修正値を計算するために使用され得る。これらの修正値は、次いで、リソグラフィツールの性能を向上させるためにリソグラフィツールに送信され得る。一般的な意味で、修正値データは、オーバーレイ性能に関する後のリソグラフィパターニングの制御を改善するため、リソグラフィツール(例えば、ステッパ)またはスキャナツールの位置合わせを修正するために使用され得る。
本明細書に記載するオーバーレイを決定するための技術は、半導体デバイス製作フォトリソグラフィプロセス、光デバイス製造、マイクロメカニカル製造、および同様のものなど、広範囲にわたるフォトリソグラフィプロセスに適し得ることが当業者によってさらに認識されるべきである。
本発明の一態様では、ステップ304の損失関数は、サポートベクターマシン(SVM)アルゴリズムを含み得る。本発明のSVM損失関数は、以下の形式をとり得る:
式中、yは選択された位置(例えば、x軸に沿った位置)で測定された特性(例えば、オーバーレイエラー)を表し、f(x,β)は、ステップ302の測定された特性のセットに適合されたモデルを表し、ここで、βは利用されたモデリング関数のパラメータを表すとし、そして、量|y−f(x,β)|は、残差y−f(x,β)の「絶対値」を表す。さらに、「Th」は、閾残差値を表す。f(x,β)の特定の形式は、様々な要因によって決まり得ることが当業者によって認識されるべきである。一般的な意味で、当技術分野で周知の任意の適切なオーバーレイ関数は、方程式1のSVM損失関数のf(x,β)として利用され得る。
伝統的に、ウェハーのフィールドから取得されたオーバーレイエラーは、線形オーバーレイ関数を決定するために使用され得る。線形オーバーレイ関数は、次いで、スキャナまたはステッパツールなどの、関連するプロセスツールに対する修正値として使用され得る。線形オーバーレイ関数に加えて、高次非線形オーバーレイ関数が、所与のプロセスツールに対して対応する修正値を計算するために、オーバーレイ関数として実装され得る。例えば、アナライザ(例えば、KLA−Tenchor’s KT Analyzer)が、高次モデルを実装するように構成され得、それは、次いで、ウェハーにわたってフィールドごとに修正値を計算するために、オーバーレイおよびCD計測データを入力するために使用され得る。フィールド内(intrafield)修正値は、オーバーレイ修正値、焦点修正値、および線量修正値を含み得るが、それらに限定されない。測定されたウェハーの各フィールドに対して生成されたフィールド内修正値の関連テーブルは、当技術分野で周知の任意の修正値を含み得る。
例えば、線形回帰が、ステップ302のターゲット特性(例えば、測定されたオーバーレイ)に適用され得る。例えば、関数変換(function translation)、回転、および/または倍率としてのオーバーレイが、オーバーレイターゲットのセット(例えば、四隅のオーバーレイターゲット)から取得され得る。線形回帰が前述したデータセットに適用され得、修正値の1つまたは複数のセットを生じる。これらの修正値は、次いで、スキャナツールなどのプロセスツールによって利用され得る。
さらに、一般的な意味で、プロセスツール修正値のセットを計算するために、高次項モデルが、ステップ302のターゲット特性(例えば、測定されたオーバーレイまたはPPE)に適用され得る。例えば、x軸に沿ったオーバーレイが、形式:Ax+Bx2の関数を利用してモデル化され得る。別の実施形態では、所与の方向に沿ってオーバーレイをモデル化するために使用される関数は、三角関数に基づき得る。高次関数は、次いで、ロット配置を決定するために使用され得る。
一般的な意味で、所与のプロセスで許容できる修正値(例えば、適合されたモデルの高次項)の範囲が、所与の非線形関数に対して事前に決定され得る。万一、測定された値がこの所定の範囲を超える場合には、そのロットは「不合格」とされ得、そのロットは強制的に「再加工」される。例えば、フォトレジストがはぎ取られ得、リソグラフィツールが調整され、そして、フォトレジストの新しいパターンが次いでウェハーまたは複数のウェハーに適用される。
さらなる実施形態では、所与のモデル適合の線形項のみが所与のプロセスツールに対する修正値として使用されるように、高次項が無視され得る。例えば、上で示した例では、スキャナツールの倍率を調整するためなど、「Bx2」によって与えられた2次項が無視され得、「Ax」項のみがプロセスツールによって利用され得る。
ステップ302のターゲット測定値が、フィールドごとの変動およびフィールド内の変動の両方に対する修正値を計算するために利用され得ることがさらに認識されるべきである。
一般的なオーバーレイ関数ならびに、特にプロセスツール修正値の計算で使用されるフィールド間およびフィールド内変動に対するオーバーレイ関数が、2011年1月25日に発行された米国特許第7,876,438号に記載され、参照によって本明細書に組み込まれる。
本開示にわたって説明されている高次関数が、前述した方程式1のSVMベースの損失関数に提供された関数f(x,β)として実装され得ることが本明細書で検討される。
前述した方程式1を再度参照すると、本発明のステップ304のSVM損失関数は、次の量を最小限にする働きをする:
ここで、方程式2による量は、モデルパラメータβに関して最小限にされる。このように、SVM損失関数は、閾値Thを下回る残差を無効にする。そのため、方程式1のSVMアルゴリズムは、閾値Thより大きい残差に対する修正値のみを、残差と閾値との間の差をモデルパラメータβに関して最小限にすることにより計算する。
方程式1のSVMベースの損失関数は、所与の残差分布の外れ値または「末尾(tail)」が、そうでなければアナライザにそのロットを不合格にさせるような状況で特に有利である。このように、従来型の修正値計算技術では、プロセス中に、所与の残差分布が、所与のロットを誤って不合格にする状況があることを当業者によって認識されるべきである。例えば、MLS分析ルーチンは、測定された特性(例えば、オーバーレイ)に関連する残差の平均を最小限にする。しかし、MLSルーチンは、残差分布の末尾における残差値を重視しない。それ故、残差分布の末尾が、その分布を構成する残差の少数を表しているが、その末尾の残差が所定の残差指定を上回っている状況で、関連するロットを不合格にするのは稀なことではない。そのため、本発明のステップ304におけるSVM損失関数の実装は、再加工率を減少させるのに役立ち得る。
図4Aおよび図4Bを参照すると、SVM損失関数の適用とMLSベースの関数との間の分布が示されている。SVM損失関数の実装では、残差の分布が正規分布を構成することが一般に仮定されないことに留意すべきである。大抵の場合、残差は、モデル化されていない系統的ノイズを含み得る。さらに、いくつかの状況では、残差は二峰性分布をもち得る。この設定では、従来のMLS分析ルーチンは、著しく偏っているであろう。
図4Aは、MLSおよびSVM損失関数の両方に関連した残差分布を示し、その分布の残差は、通常のランダムノイズを含む。本明細書では、関連するオーバーレイ測定値が、線形挙動に加えて通常のランダムノイズを表示することを前提とする。さらに、ロットに対する残差指定が3nmと仮定される。図4Aに示すグラフは、1)MLSルーチンおよび2)SVM損失関数に関連する修正値を適用した後の残差を示す。
MLSルーチンに関して、MLSルーチンに関連する残余は、通常の分布形状を示すが、分布の最大残差は、所定のオーバーレイ指定の3nmよりも大きい。そのため、従来の分析技術の下では、関連するロットは不合格になるであろう。それにひきかえ、SVM損失関数に関連する全ての残余値は、所定のオーバーレイ指定を下回る値を表示する。そのため、そのロットは合格し、再加工が回避される。
図4Bは、MLSおよびSVM損失関数の両方に関連した残差分布を示し、その分布の残差は、純粋な二次系統的成分を有するノイズを含む。前述のように、図4Bは、1)MLSルーチンおよび2)SVM損失関数に関連する修正値を適用した後の残差を示す。再度、MLSルーチンに関して、分布の最大残差は、所定のオーバーレイ指定の3nmよりも大きく、そのロットの不合格をもたらす。さらに、SVM損失関数の分析で、最大の残差がオーバーレイ指定を下回るように、SVM損失関数がオーバーレイを修正するので、そのロットは合格となる。
ステップ304のSVM損失関数は、従来の方法に対して有意な優越性を示すが、所与のロットが不合格になるのを回避する努力は、後続のロットの修正においてより価値の低い修正値を生成する可能性とのバランスを保つ必要があることが本明細書で認識される。
方程式1のSVM損失関数は、要求される閾値「Th」を、末尾の残余を不合格にしない可能な最小値まで引き下げようとし得る。しかし、SVM損失関数から導出された修正値が信頼できるか否かを考慮することは重要である。いくつかの状況では、末尾の残余に基づいてロットを不合格にすることは適切であることに留意されたい。
さらなるステップ308では、方程式1のSVM損失関数によって出力された結果の質を測定するために、自己無撞着(self−consistency)ステップがステップ304の損失関数の結果に適用され得る。自己無撞着ステップは,いくつか(例えば、50)のランダムなサブサンプルをオーバーレイデータセットから選択することにより実行され得る。サブサンプリングの各々は、同じサイズである。例えば、各サブサンプリングは、可能なサンプリングの90%を表し得る。一態様では、サブサンプリングの各々に対して、SVM損失関数からの修正値が計算され得、異なるサブサンプリングにわたる修正値の標準偏差が推定され得る。さらなる態様では、事前に定義された標準偏差閾値が選択され得、それを計算された修正値の標準偏差が超えてはならない。
図5は、50のランダムなサブサンプリングをもつ修正値の計算のセットを示し、各ランダムなサブサンプリングは、可能なサイトの90%を表す。図5に示すように、2つの標準偏差閾値、Th1およびTh2が利用される。Th1によって生成された修正値の推定値(曲線502)は、Th2によって生成された推定値(曲線504)よりも著しく大きいノイズレベルを表示する。例えば、Th1に関連する修正値の推定値502は、0.527nmの標準偏差を表示し得るが、他方、Th2のそれ504は、0.083nmの標準偏差を表示し得る。さらに、標準偏差閾値の実施態様は、2つ以上の閾値を区別し得る。例えば、0.3nmの標準偏差閾値は、Th1とTh2を区別して、Th2を優れた選択肢とするであろう。本明細書では、本発明のステップ304のSVM損失関数によって生成される残差分布の信頼性を確実にするために、自己無撞着ルーチンの追加が利用され得ると考えられる。
様々な自己無撞着アルゴリズムおよびルーチンが、本発明のSVM損失関数によって生成された修正値曲線に適用され得ることがさらに認識される。一般的な意味で、当業者に周知の任意の自己無撞着アルゴリズムが、本発明における実施態様に適し得る。
図6は、プロセスツール修正値を1つまたは複数のプロセスツールに提供するための代替プロセス600で実行されるステップを示す流れ図である。
第1のステップ602では、プロセス300に類似した方法で、第1の測定プロセスが、1つのロットのウェハーの1つのウェハーの1つまたは複数のフィールドにわたって分散した複数のターゲットの1つまたは複数の特性を測定し得る。前述のように、1つのロットのウェハーの1つまたは複数のウェハーについて実行される測定は、プロセス300のステップ302で実行される測定に類似した測定プロセスを含み得る。このように、ステップ302で概説したような様々な測定値および測定方法が、プロセス600のステップ602に適用され得る。
第2のステップ604では、プロセスツール修正値の第1および第2のセットが損失関数を利用して決定され得る。一態様では、第1のセットのプロセスツール修正値が、選択された閾値レベルよりも大きい残差に対して計算され得、他方、第2のセットのプロセスツール修正値が、閾値レベルよりも小さい残差に対して計算され得る。さらなる態様では、第1のセットのプロセスツール修正値は、スケーリングされた残差と選択された閾値との間の差を最小限にするモデルパラメータを含む。第2のセットのプロセスツール修正値は、スケーリングされた残差を最小限にするモデルパラメータを含む。第3のステップ606では、プロセスツール修正値の決定されたセットが、1つまたは1つまたは複数のプロセスツールをモニタまたは調整するために利用され得る。そこでは、プロセス300のステップ304および306で説明した適用可能な手順および方法が、プロセス600の第2のステップ604および第3のステップ606にまで及ぶと解釈されるべきである。
ステップ604の損失関数は以下の形式をとり得る:
式中、方程式1におけるように、yは選択された位置(例えば、x軸に沿った位置)で測定された特性(例えば、オーバーレイエラー)を表し、f(x,β)は、ステップ602の測定された特性に適合されたモデルを表し、ここで、βは利用されたモデリング関数のパラメータを表すとし、そして、量|y−f(x,β)|は、残差y−f(x,β)の「絶対値」を表す。さらに、「Th」は、閾残差値を表す。さらなる態様では、プロセス600の損失関数は、スケーリング係数αを含み得る。スケーリング係数αは、0と1との間の値をもち得、残差分布の残差をスケーリングするために利用され得る。
選択された閾値Thを下回る残差に対して、スケーリング係数αは、残差の絶対値|y−f(x,β)|を、ある選択された程度だけスケーリングする働きをし得る。これは、プロセス300のステップ304に関して本明細書で前述した手法と相対し、そこでは、閾値Thを下回る残差が無効にされた。プロセス600のステップ604では、Thを下回る残差が無視されるのではなく、むしろ、それらの寄与が単に減らされる。さらに、方程式3の損失関数は、残差のスケーリングされた絶対値α|y−f(x,β)|をβに関して最小限にする働きをする。
その一方、閾値Thを上回る残差に対して、スケーリング係数αは、スケーリングされた残差の絶対値α|y−f(x,β)|と閾値Thとの間の差をモデルパラメータβに関してスケーリングする働きをする。f(x,β)の特定の形式が様々な要因によって決まり得ることが当業者によって認識されるべきである。一般的な意味で、当技術分野で周知の任意の適切なオーバーレイ関数が、方程式3の損失関数のf(x,β)として使用され得る。
プロセス600は、追加の自己無撞着ステップ608を含み得ることにさらに留意されたい。自己無撞着ルーチン608は、プロセス300の自己無撞着ルーチン308と類似した方法で実装され得る。
図7は、プロセスツール修正値を1つまたは複数のプロセスツールに提供するための代替プロセス700で実行されるステップを示す流れ図である。
第1のステップ702では、プロセス300に類似した方法で、第1の測定プロセスが、1つのロットのウェハーの1つのウェハーの1つまたは複数のフィールドにわたって分散した複数のターゲットの1つまたは複数の特性を測定し得る。前述のように、1つのロットのウェハーの1つまたは複数のウェハーについて実行される測定は、プロセス300のステップ302で実行される測定に類似した測定プロセスを含み得る。このように、ステップ302で概説したような様々な測定値および測定方法が、プロセス700のステップ702に適用され得る。
第2のステップ704では、選択された閾値レベルよりも小さい残差に対するプロセスツール修正値のセットが、ステップ702の測定結果を使用して、損失関数で決定され得る。一態様では、損失関数は、1つまたは複数のプロセスツールのためのモデルをフィールド位置に応じて、ステップ702の1つまたは複数の測定された特性に適合させるように構成される。別の態様では、プロセスツール修正値の計算されたセットは、残差の二乗を最小限にする働きをするモデルのパラメータ値を含む。第3のステップ706では、プロセスツール修正値の決定されたセットが、1つまたは複数のプロセスツールをモニタまたは調整するために利用され得る。そこでは、プロセス300のステップ304および306で説明した適用可能な手順および方法が、プロセス700の第2のステップ704および第3のステップ706にまで及ぶと解釈されるべきである。
ステップ704の損失関数は以下の形式をとり得る:
式中、再度、yは選択された位置(例えば、x軸に沿った位置)で測定された特性(例えば、オーバーレイエラー)を表し、f(x,β)は、ステップ702の測定された特性に適合されたモデルを表し、ここで、βは、残差の二乗を最小限にするために利用されたモデリング関数のパラメータを表す。
本明細書では、方程式4の損失関数は、方程式1および方程式3のそれとは、方程式4は選択された閾値Thを上回る全ての残差を無効にするという点で異なることに留意されたい。選択されたTh値を下回る残差に対して、方程式4の損失関数は、残差の二乗をモデルパラメータβに関して最小限にする働きをし得る。方程式4の損失関数は、ユーザーが、残差分布の「末尾」の影響を分布の残りに関して最小限にしようとする状況で、特に好都合であり得る。
プロセス700は、追加の自己無撞着ステップ708を含み得ることにさらに留意されたい。自己無撞着ルーチン708は、プロセス308の自己無撞着ルーチン308と類似した方法で実装され得る。
本明細書に記載する全ての方法は、方法実施形態の1つまたは複数のステップの結果を記憶媒体に格納することを含み得る。その結果は、本明細書に記載する任意の結果を含み得、当技術分野で周知の任意の方法で格納され得る。記憶媒体は、本明細書に記載する任意の記憶媒体または当技術分野で周知の任意の他の適切な記憶媒体を含み得る。結果が格納された後、その結果は、その記憶媒体内でアクセスでき、本明細書に記載する任意の方法またはシステム実施形態によって使用でき、ユーザーに対して表示するためにフォーマットでき、別のソフトウェアモジュール、方法、またはシステムなどによって使用できる。例えば、方法がサブサンプリング計画を生成した後、その方法は、サブサンプリング計画を記憶媒体の計測レシピ内に格納することを含み得る。さらに、本明細書に記載する実施形態の結果または出力は、出力ファイルが計測システムによって理解できると仮定して、計測システムが計測のためにサブサンプリング計画を使用できるように、CD SEMなどの計測システムによって格納され、アクセスされ得る。さらに、結果は、「永久的に」、「半永久的に」、一時的に、またはある期間にわたって格納され得る。例えば、記憶媒体は、ランダムアクセスメモリ(RAM)であり得、結果は記憶媒体内で必ずしも無期限には持続し得ない。
前述した方法の各実施形態は、本明細書に記載する任意の他の方法の任意の他のステップを含み得るとさらに考えられる。さらに、前述した方法の各実施形態は、本明細書に記載する任意のシステムによって実行され得る。
当業者なら、本明細書に記載するプロセスおよび/またはシステムおよび/または他の技術を達成できる様々な伝達手段(例えば、ハードウェア、ソフトウェア、および/またはファームウェア)があること、および好ましい伝達手段は、そのプロセスおよび/またはシステムおよび/または他の技術が配備されるコンテキストによって異なるであろうことを理解するであろう。例えば、実装者が、速度および正確さが最重要であると判断した場合、実装者は、主にハードウェアおよび/またはファームウェア伝達媒体を選び得、別の方法として、柔軟性が最重要である場合は、実装者は、主にソフトウェア実装を選び得、または、さらに別の方法として、実装者は、ハードウェア、ソフトウェア、および/もしくはファームウェアの何らかの組合せを選び得る。従って、本明細書に記載するプロセスおよび/または装置および/または他の技術を達成し得る、いくつかの可能な伝達手段があるが、利用されるどの伝達手段も、その伝達手段が配備されるコンテキストおよび実装者の特定の関心事(例えば、速度、柔軟性、または予測可能性)によって決まる選択であるという点において、そのいずれも本質的に他よりも優れておらず、そのどれも変わり得る。当業者なら、実施態様の光学的態様は、通常、光学指向(optically−oriented)のハードウェア、ソフトウェア、および/またはファームウェアを採用するであろうことを理解するであろう。
当業者なら、装置および/または方法を本明細書で説明する方法で説明し、その後、技術的手法を使用して、かかる説明した装置および/またはプロセスをデータ処理システムに統合することは、当技術分野では一般的であることを理解するであろう。すなわち、本明細書で説明する装置および/またはプロセスの少なくとも一部は、十分な実験を通して、データ処理システムに統合できる。当業者なら、標準的なデータ処理システムは、通常、1つまたは複数のシステムユニット筐体、ビデオディスプレイ装置、揮発性および不揮発性メモリなどのメモリ、マイクロプロセッサおよびデジタル信号プロセッサなどのプロセッサ、オペレーティングシステム、ドライバ、グラフィカルユーザーインタフェース、およびアプリケーションプログラムなどの計算実体(computational entity)、タッチパッドもしくは画面などの1つもしくは複数のインタラクション装置、ならびに/またはフィードバックループおよび制御モーター(例えば、位置および/もしくは速度を検知するためのフィードバック;コンポーネントおよび/もしくは量を移動および/もしくは調整するための制御モーター)を含む制御システムを含むことを理解するであろう。標準的なデータ処理システムは、データコンピューティング/通信および/またはネットワークコンピューティング/通信システムにおいて通常見られるような、任意の適した市販のコンポーネントを利用して実装され得る。
本明細書に記載する目的物は、ときどき、異なる他のコンポーネント内に含まれるか、またはそれと接続される異なるコンポーネントを示す。かかる示された構成は、単なる例示であること、および実際、同じ機能性を達成する多数の他の構成が実施できることが理解される。概念的な意味で、同じ機能性を達成するためのコンポーネントの任意の配置が、所望の機能性が達成されるように、効果的に「関連付け」られる。従って、特定の機能性を達成するために本明細書で結合された任意の2つのコンポーネントは、構成または中間コンポーネントにかかわらず、所望の機能性が達成されるように、互いに「関連付け」られていると見ることができる。同様に、そのように関連付けられた任意の2つのコンポーネントは、所望の機能性を達成するために互いに「接続」されているか、または「結合」されているとも見ることができ、また、そのように関連付けられることが可能な任意の2つのコンポーネントは、所望の機能性を達成するために互いに「結合可能」であるとも見ることができる。結合可能な特定の例は、物理的に嵌合可能な(mateable)コンポーネントおよび/もしくは物理的に通信する(interacting)コンポーネントならびに/または無線通信可能なコンポーネントおよび/もしくは無線通信するコンポーネントならびに/または論理的に通信するコンポーネントおよび/もしくは論理的に通信可能なコンポーネントを含むが、それらに限定されない。
本明細書に記載する本主題の特定の態様が示され説明されてきたが、当業者には、本明細書の教示に基づき、本明細書に記載する主題およびそのより広い態様から逸脱することなく、変更および修正がなされ得、従って、添付の特許請求の範囲は、本明細書に記載する主題の真の精神および範囲内であるように、その範囲内に全てのかかる変更および修正を包含することが明らかであろう。
さらに、本発明は添付の特許請求の範囲によって定義されることが理解される。
本発明の特定の実施形態を示してきたが、本発明の様々な修正および実施形態が、前述した開示の範囲および精神から逸脱することなく、当業者によってなされ得ることは明らかである。その結果、本発明の範囲は、本明細書に添付する特許請求の範囲によってのみ制限されるべきである。
本開示およびその付随する利点の多くは、前述の説明によって理解されるであろうと考えられ、様々な変更が、開示する主題から逸脱することなく、またはその材料の優位性の全てを犠牲にすることなく、コンポーネントの形状、構造および配置においてなされ得ることは明らかであろう。説明した形状は単なる例示であり、かかる変更を包含し含むことが以下の請求項の意図である。

Claims (25)

  1. プロセスツール修正値を1つまたは複数のプロセスツールに提供するための方法であって、
    第1の測定プロセスを1つのロットのウェハーの1つのウェハーについて実行することであって、前記第1の測定プロセスが、前記1つのロットのウェハーの前記ウェハーの1つまたは複数のフィールドにわたって分散した複数のターゲットの1つまたは複数の特性を測定することを含む、第1の測定プロセスを実行することと、
    損失関数を利用して、選択された閾値レベルよりも大きい残差に対してプロセスツール修正値のセットを決定することであって、前記損失関数が、1つまたは複数のプロセスツールのためのモデルを、フィールド位置に応じて、前記複数のターゲットの1つまたは複数の前記測定された特性に適合させるように構成され、プロセスツール修正値の前記セットが前記残差の絶対値と前記選択された閾値との間の差を最小限にする働きをする前記モデルの1つまたは複数のパラメータを含む、プロセスツール修正値のセットを決定することと、
    前記1つまたは複数のプロセスツールの1つまたは複数のプロセスをモニタまたは調整するために、前記決定されたセットのプロセスツール修正値を利用することと
    を含む方法。
  2. 自己無撞着ルーチンを前記決定されたセットのプロセスツール修正値について実行することをさらに含む、
    請求項1に記載の方法。
  3. 自己無撞着ルーチンを前記決定されたセットのプロセスツール修正値について前記実行することが、
    前記実行された測定プロセスの前記測定された特性の複数のランダムなサンプリングを利用して、自己無撞着ルーチンを前記決定されたセットのプロセスツール修正値に対して実行することであって、前記ランダムなサンプリングの各々が同じサイズである、自己無撞着ルーチンを実行することを含む、
    請求項2に記載の方法。
  4. 前記損失関数が、損失関数に基づくサポートベクターマシン(SVM)アルゴリズムを含む、請求項1に記載の方法。
  5. 前記モデルが、非線形オーバーレイ関数を含む、請求項1に記載の方法。
  6. 第1の測定プロセスを1つのロットのウェハーの1つのウェハーについて前記実行することが、
    計測測定を1つのロットのウェハーの1つのウェハーについて実行することを含む、
    請求項1に記載の方法。
  7. 前記複数のターゲットの前記1つまたは複数の測定された特性が、オーバーレイ値、限界寸法(CD)値、焦点値、または線量値のうちの少なくとも1つを含む、請求項1に記載の方法。
  8. 前記決定されたセットのプロセスツール修正値が、オーバーレイ修正値、線量修正値、または焦点修正値のうちの少なくとも1つを含む、請求項1に記載の方法。
  9. 前記ロットのウェハーの前記ウェハーに関する前記第1の測定の結果が、前記測定における変動を示す情報を含む、請求項1に記載の方法。
  10. 前記1つまたは複数のプロセスツールが、リソグラフィツールまたはスキャナツールのうちの少なくとも1つを含む、請求項1に記載の方法。
  11. プロセスツール修正値を提供するための方法であって、
    第1の測定プロセスを第1のロットのウェハーの1つのウェハーについて実行することであって、前記第1の測定プロセスが、前記第1のロットのウェハーの前記ウェハーの1つまたは複数のフィールドにわたって分散した複数のターゲットの1つまたは複数の特性を測定することを含む、第1の測定プロセスを実行することと、
    残差が選択された閾値レベルよりも大きい場合に第1のセットのプロセスツール修正値を、前記残差が前記選択された閾値レベルよりも小さい場合に第2のセットのプロセスツール修正値を計算するように構成された損失関数を利用して、前記第1および第2のセットのプロセスツール修正値を決定することであって、前記損失関数が、1つまたは複数のプロセスツールのためのモデルをフィールド位置に応じて、前記複数のターゲットの1つまたは複数の前記測定された特性に適合させるように構成され、前記第1のセットのプロセスツール修正値がスケーリングされた残差と前記選択された閾値との間の差を最小限にする前記モデルの1つまたは複数のパラメータを含み、前記第2のセットのプロセスツール修正値が前記スケーリングされた残差を最小限にする前記モデルの1つまたは複数のパラメータを含む、プロセスツール修正値の第1および第2のセットを決定することと、
    前記1つまたは複数のプロセスツールの1つまたは複数のプロセスをモニタまたは調整するために、前記決定された第1のセットのプロセスツール修正値および第2のセットのプロセスツール修正値を利用することと、
    を含む方法。
  12. 自己無撞着ルーチンを前記第1のセットのプロセスツール修正値および前記第2のセットのプロセスツール修正値について実行することをさらに含む、
    請求項11に記載の方法。
  13. 自己無撞着ルーチンを前記決定されたセットのプロセスツール修正値について前記実行することが、
    前記実行された測定プロセスの前記測定された特性の複数のランダムなサンプリングを利用して、自己無撞着ルーチンを前記決定されたセットのプロセスツール修正値に対して実行することであって、前記ランダムなサンプリングの各々が同じサイズである、自己無撞着ルーチンを実行することを含む、
    請求項12に記載の方法。
  14. 前記モデルが、非線形モデルオーバーレイ関数を含む、請求項11に記載の方法。
  15. 第1の測定プロセスを1つのロットのウェハーの1つのウェハーについて前記実行することが、
    計測測定を1つのロットのウェハーの1つのウェハーについて実行することを含む、
    請求項11に記載の方法。
  16. 前記決定されたセットのプロセスツール修正値が、オーバーレイ修正値、線量修正値、または焦点修正値のうちの少なくとも1つを含む、請求項11に記載の方法。
  17. プロセスツール修正値を提供するための方法であって、
    第1の測定プロセスを第1のロットのウェハーの1つのウェハーについて実行することであって、前記第1の測定プロセスが、前記第1のロットのウェハーの前記ウェハーの1つまたは複数のフィールドにわたって分散した複数のターゲットの1つまたは複数の特性を測定することを含む、第1の測定プロセスを実行することと、
    選択された閾値レベルを下回る残差に対してプロセスツール修正値のセットを計算するように構成された損失関数を利用して、プロセスツール修正値のセットを決定することであって、前記損失関数が、1つまたは複数のプロセスツールのためのモデルをフィールド位置に応じて、前記複数のターゲットの1つまたは複数の前記測定された特性に適合させるように構成され、前記損失関数が、前記残差の二乗を最小限にするように働く、プロセスツール修正値のセットを決定することと、
    前記1つまたは複数のプロセスツールの1つまたは複数のプロセスをモニタまたは調整するために、前記決定されたセットのプロセスツール修正値を利用することと、
    を含む方法。
  18. 自己無撞着ルーチンをプロセスツール修正値の前記セットについて実行することをさらに含む、
    請求項17に記載の方法。
  19. 自己無撞着ルーチンを前記決定されたセットのプロセスツール修正値について前記実行することが、
    前記実行された測定プロセスの前記測定された特性の複数のランダムなサンプリングを利用して、自己無撞着ルーチンを前記決定されたセットのプロセスツール修正値に対して実行することであって、前記ランダムなサンプリングの各々が同じサイズである、自己無撞着ルーチンを実行することを含む、
    請求項18に記載の方法。
  20. 前記モデルが、非線形モデルオーバーレイ関数を含む、請求項17に記載の方法。
  21. 第1の測定プロセスを1つのロットのウェハーの1つのウェハーについて前記実行することが、
    計測測定を1つのロットのウェハーの1つのウェハーについて実行することを含む、
    請求項17に記載の方法。
  22. 前記決定されたセットのプロセスツール修正値が、オーバーレイ修正値、線量修正値、または焦点修正値のうちの少なくとも1つを含む、請求項17に記載の方法。
  23. プロセスツール修正値を1つまたは複数のプロセスツールに提供するためのシステムであって、
    第1の測定プロセスを1つのロットの複数のウェハーの1つのウェハーについて実行するように構成された測定システムであって、前記第1の測定プロセスが、前記1つのロットの複数のウェハーの前記ウェハーの1つまたは複数のフィールドにわたって分散した複数のターゲットの1つまたは複数の特性を測定することを含む、測定システムと、
    1つまたは複数のプロセスツールと、
    前記測定システムと前記1つまたは複数のプロセスツールとの少なくとも一方に通信可能に結合された1つまたは複数のプロセッサと、を備え、
    前記1つまたは複数のプロセッサがプログラム命令を実行するように構成され、前記プログラム命令により前記1つまたは複数のプロセッサが、
    損失関数を利用して、選択された閾値レベルよりも大きい残差に対してプロセスツール修正値のセットを決定し、前記損失関数が、前記1つまたは複数のプロセスツールのためのモデルを、フィールド位置に応じて、前記測定システムで測定された前記複数のターゲットの1つまたは複数の前記測定された特性に適合させるように構成され、プロセスツール修正値の前記セットが、前記残差の絶対値が前記選択された閾値以上であるとき、前記残差の絶対値と前記選択された閾値との間の差を最小化すべく作用する、前記モデルの1つまたは複数のパラメータを含み、前記残差の絶対値が前記選択された閾値を下回るとき、前記損失関数が前記残差を無効にし、
    前記1つまたは複数のプロセスツールを調整するために、プロセスツール修正値の前記決定されたセットの関数である1つまたは複数の制御信号を、前記1つまたは複数のプロセスツールに送る、
    システム。
  24. プロセスツール修正値を1つまたは複数のプロセスツールに提供するためのシステムであって、
    第1の測定プロセスを1つのロットの複数のウェハーの1つのウェハーについて実行するように構成された測定システムであって、前記第1の測定プロセスが、前記1つのロットの複数ウェハーの前記ウェハーの1つまたは複数のフィールドにわたって分散した複数のターゲットの1つまたは複数の特性を測定することを含む、測定システムと、
    1つまたは複数のプロセスツールと、
    前記測定システムと前記1つまたは複数のプロセスツールとの少なくとも一方に通信可能に結合された1または複数のプロセッサと、を備え、
    前記1つまたは複数のプロセッサがプログラム命令を実行するように構成され、前記プログラム命令により前記1つまたは複数のプロセッサが、
    残差が選択された閾値レベルより大きい場合にプロセスツール修正値の第1のセットを計算し、前記残差が前記選択された閾値レベルより小さい場合にプロセスツール修正値の第2のセットを計算するように構成された損失関数を利用して、プロセスツール修正値の前記第1及び第2セットを決定し、前記損失関数が、前記1つまたは複数のプロセスツールのためのモデルを、フィールド位置に応じて、前記測定システムで測定された前記複数のターゲットの1つまたは複数の前記測定された特性に適合させるように構成され、プロセスツール修正値の前記第1のセットが、前記残差の絶対値が前記選択された閾値以上であるとき、スケーリングされた残差の絶対値と前記選択された閾値との間の差を最小化する、前記モデルの1つまたは複数のパラメータを含み、プロセスツール修正値の前記第2のセットが、前記残差の絶対値が前記選択された閾値を下回るとき、前記スケーリングされた残差を最小化する、前記モデルの1つまたは複数のパラメータを含み、
    前記1つまたは複数のプロセスツールを調整するために、プロセスツール修正値の前記決定された第1及び第2のセットの関数である1つまたは複数の制御信号を、前記1つまたは複数のプロセスツールに送る、
    システム。
  25. プロセスツール修正値を1つまたは複数のプロセスツールに提供するためのシステムであって、
    第1の測定プロセスを1つのロットの複数のウェハーの1つのウェハーについて実行するように構成された測定システムであって、前記第1の測定プロセスが、前記1つのロットの複数ウェハーの前記ウェハーの1つまたは複数のフィールドにわたって分散した複数のターゲットの1つまたは複数の特性を測定することを含む、測定システムと、
    1つまたは複数のプロセスツールと、
    前記測定システムと前記1つまたは複数のプロセスツールとの少なくとも一方に通信可能に結合された1または複数のプロセッサと、を備え、
    前記1つまたは複数のプロセッサがプログラム命令を実行するように構成され、前記プログラム命令により前記1つまたは複数のプロセッサが、
    選択された閾値レベルを下回る残差に対しプロセスツール修正値のセットを計算するよう構成された損失関数を利用してプロセスツール修正値のセットを決定し、前記損失関数が、前記1つまたは複数のプロセスツールのためのモデルを、フィールド位置に応じて、前記測定システムで測定された前記複数のターゲットの1つまたは複数の前記測定された特性に適合させるように構成され、前記残差の絶対値が選択された閾値を下回るとき、依存性曲線の1つまたは複数のパラメータが、前記残差の二乗を最小化すべく作用し、前記残差が前記選択された閾値以上であるとき、前記損失関数が前記残差を無効にし、
    前記1つまたは複数のプロセスツールを調整するために、プロセスツール修正値の前記決定されたセットの関数である1つまたは複数の制御信号を、前記1つまたは複数のプロセスツールに送る、
    システム。
JP2013523203A 2010-07-30 2011-07-27 プロセスツール修正値を提供するための方法およびシステム Active JP5911489B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36958410P 2010-07-30 2010-07-30
US61/369,584 2010-07-30
US13/185,033 2011-07-18
US13/185,033 US9052709B2 (en) 2010-07-30 2011-07-18 Method and system for providing process tool correctables
PCT/US2011/045603 WO2012015967A2 (en) 2010-07-30 2011-07-27 Method and system for providing process tool correctables

Publications (2)

Publication Number Publication Date
JP2013534368A JP2013534368A (ja) 2013-09-02
JP5911489B2 true JP5911489B2 (ja) 2016-04-27

Family

ID=45527600

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013523203A Active JP5911489B2 (ja) 2010-07-30 2011-07-27 プロセスツール修正値を提供するための方法およびシステム

Country Status (6)

Country Link
US (1) US9052709B2 (ja)
EP (1) EP2599106A4 (ja)
JP (1) JP5911489B2 (ja)
KR (1) KR101853990B1 (ja)
TW (1) TWI473142B (ja)
WO (1) WO2012015967A2 (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105474377B (zh) * 2013-06-28 2019-04-26 科磊股份有限公司 代表性目标子集的选择及使用
US9053284B2 (en) * 2013-09-04 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for overlay control
US10466596B2 (en) * 2014-02-21 2019-11-05 Kla-Tencor Corporation System and method for field-by-field overlay process control using measured and estimated field parameters
TWI560747B (en) * 2014-04-02 2016-12-01 Macromix Internat Co Ltd Method of manufacturing semiconductor and exposure system
US10509329B2 (en) * 2014-09-03 2019-12-17 Kla-Tencor Corporation Breakdown analysis of geometry induced overlay and utilization of breakdown analysis for improved overlay control
US11036146B2 (en) 2015-10-19 2021-06-15 Asml Netherlands B. V. Method and apparatus to reduce effects of nonlinear behavior
WO2017067752A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US10915689B2 (en) * 2015-10-19 2021-02-09 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
KR102059018B1 (ko) 2015-10-19 2019-12-24 에이에스엠엘 네델란즈 비.브이. 패터닝 공정 오차를 보정하는 장치 및 방법
WO2017067755A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
TWI677772B (zh) * 2016-01-21 2019-11-21 聯華電子股份有限公司 先進製程控制方法
US10234401B2 (en) * 2016-02-22 2019-03-19 Qoniac Gmbh Method of manufacturing semiconductor devices by using sampling plans
TW202303095A (zh) * 2016-02-24 2023-01-16 美商克萊譚克公司 光學計量之準確度提升
EP3364247A1 (en) * 2017-02-17 2018-08-22 ASML Netherlands B.V. Methods & apparatus for monitoring a lithographic manufacturing process
US10474040B2 (en) * 2017-12-07 2019-11-12 Kla-Tencor Corporation Systems and methods for device-correlated overlay metrology
GB201721309D0 (en) 2017-12-19 2018-01-31 Renishaw Plc Production and measurement of workpieces
KR102454303B1 (ko) 2018-01-24 2022-10-12 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법 기반 샘플링 스킴
KR20230144122A (ko) * 2018-06-14 2023-10-13 노바 엘티디. 반도체 제조용 측정 및 공정 제어
US10964566B2 (en) 2018-06-29 2021-03-30 Taiwan Semiconductor Manufacturing Go., Ltd. Machine learning on overlay virtual metrology
US10956597B2 (en) 2019-05-23 2021-03-23 Advanced New Technologies Co., Ltd. Loss function value determination method and device and electronic equipment
CN110263294B (zh) * 2019-05-23 2020-08-04 阿里巴巴集团控股有限公司 损失函数取值的确定方法、装置和电子设备
WO2020263461A1 (en) * 2019-06-25 2020-12-30 Kla Corporation Selection of regions of interest for measurement of misregistration and amelioration thereof
JP7450358B2 (ja) * 2019-09-25 2024-03-15 東京エレクトロン株式会社 基板処理制御方法、基板処理装置、及び記憶媒体
US11586794B2 (en) 2020-07-30 2023-02-21 Applied Materials, Inc. Semiconductor processing tools with improved performance by use of hybrid learning models

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3258178B2 (ja) * 1994-09-27 2002-02-18 株式会社東芝 位置合わせ方法
JPH1022190A (ja) * 1996-06-28 1998-01-23 Nikon Corp 露光装置における位置合わせ誤差補正方法および該方法を用いた露光装置
US7170604B2 (en) 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US6999836B2 (en) 2002-08-01 2006-02-14 Applied Materials, Inc. Method, system, and medium for handling misrepresentative metrology data within an advanced process control system
CN100407215C (zh) 2002-09-30 2008-07-30 东京毅力科创株式会社 用于监视和控制半导体生产过程的方法和装置
US7608468B1 (en) * 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same
KR100524472B1 (ko) 2003-07-18 2005-10-31 삼성전자주식회사 반도체공정장치 및 공정진단방법
US7490071B2 (en) * 2003-08-29 2009-02-10 Oracle Corporation Support vector machines processing system
US7242995B1 (en) * 2004-10-25 2007-07-10 Rockwell Automation Technologies, Inc. E-manufacturing in semiconductor and microelectronics processes
JP4873230B2 (ja) 2006-05-19 2012-02-08 株式会社ニコン 露光方法、露光装置、計測方法及び計測装置
US7842442B2 (en) * 2006-08-31 2010-11-30 Advanced Micro Devices, Inc. Method and system for reducing overlay errors within exposure fields by APC control strategies
US8175831B2 (en) * 2007-04-23 2012-05-08 Kla-Tencor Corp. Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
US8260449B2 (en) 2008-11-06 2012-09-04 Micron Technology, Inc. Photolithography systems and associated methods of overlay error correction
CN107895728B (zh) 2017-12-05 2020-07-28 京东方科技集团股份有限公司 阵列基板、其制作方法和包括阵列基板的显示装置

Also Published As

Publication number Publication date
JP2013534368A (ja) 2013-09-02
KR20130095262A (ko) 2013-08-27
EP2599106A2 (en) 2013-06-05
EP2599106A4 (en) 2016-10-26
KR101853990B1 (ko) 2018-05-02
TWI473142B (zh) 2015-02-11
WO2012015967A3 (en) 2012-05-24
US20120029856A1 (en) 2012-02-02
TW201220353A (en) 2012-05-16
WO2012015967A2 (en) 2012-02-02
US9052709B2 (en) 2015-06-09

Similar Documents

Publication Publication Date Title
JP5911489B2 (ja) プロセスツール修正値を提供するための方法およびシステム
US9620426B2 (en) Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation
KR101943593B1 (ko) 공정 제어를 개선하기 위한 품질 메트릭 제공 방법 및 시스템
JP6042442B2 (ja) ウェーハ幾何形状メトリックを用いるオーバーレイ及び半導体プロセス制御
KR101869573B1 (ko) 서브 샘플링 방식을 이용하여 툴-유도 시프트를 제공하는 방법 및 시스템
US10466596B2 (en) System and method for field-by-field overlay process control using measured and estimated field parameters
KR20170086585A (ko) 반도체 제조 공정을 위한 개선된 공정 제어 기술
WO2014039674A1 (en) Method for estimating and correcting misregistration target inaccuracy
US9390492B2 (en) Method and system for reference-based overlay measurement
JP5035685B2 (ja) 解析装置、処理装置、測定装置、露光装置、基板処理システム、解析方法及びプログラム
US20070105244A1 (en) Analytical apparatus, processing apparatus, measuring and/or inspecting apparatus, exposure apparatus, substrate processing system, analytical method, and program
CN108027572B (zh) 用于控制光刻设备的方法、光刻设备以及器件制造方法
Lakcher et al. On-product focus monitoring and control for immersion lithography in 3D-NAND manufacturing
TW202232268A (zh) 製造半導體裝置之方法及用於半導體製造總成之製程控制系統

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140725

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150515

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150526

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150826

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150915

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160301

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160329

R150 Certificate of patent or registration of utility model

Ref document number: 5911489

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250