TWI833043B - 執行半導體計量之方法、相關聯之非暫時性電腦可讀儲存媒體及半導體檢測系統 - Google Patents

執行半導體計量之方法、相關聯之非暫時性電腦可讀儲存媒體及半導體檢測系統 Download PDF

Info

Publication number
TWI833043B
TWI833043B TW109134685A TW109134685A TWI833043B TW I833043 B TWI833043 B TW I833043B TW 109134685 A TW109134685 A TW 109134685A TW 109134685 A TW109134685 A TW 109134685A TW I833043 B TWI833043 B TW I833043B
Authority
TW
Taiwan
Prior art keywords
measurement data
data
instances
measurement
metrology
Prior art date
Application number
TW109134685A
Other languages
English (en)
Other versions
TW202129225A (zh
Inventor
史帝藍 潘戴夫
Original Assignee
美商科磊股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商科磊股份有限公司 filed Critical 美商科磊股份有限公司
Publication of TW202129225A publication Critical patent/TW202129225A/zh
Application granted granted Critical
Publication of TWI833043B publication Critical patent/TWI833043B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/088Non-supervised learning, e.g. competitive learning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B21/00Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant
    • G01B21/02Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant for measuring length, width, or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/55Specular reflectivity
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • G01N23/04Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and forming images of the material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/20Ensemble learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Artificial Intelligence (AREA)
  • Chemical & Material Sciences (AREA)
  • Computational Linguistics (AREA)
  • Molecular Biology (AREA)
  • Biophysics (AREA)
  • Biomedical Technology (AREA)
  • Immunology (AREA)
  • Analytical Chemistry (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

使用第一計量資料及第二計量資料訓練一機器學習模型以基於一裝置區域之計量資料來預測一計量目標之計量資料。該第一計量資料係針對使用一製程製造的半導體晶粒上之一裝置區域之複數個例項。該第二計量資料係針對含有與該裝置區域中之結構相異之結構之一計量目標之複數個例項。使用該經訓練機器學習模型基於該裝置區域之一例項之第三計量資料來預測該計量目標之第四計量資料。使用該計量目標之一配方基於該第四計量資料來判定該計量目標之一或多個參數。至少部分基於該一或多個參數來監測及控制該製程。

Description

執行半導體計量之方法、相關聯之非暫時性電腦可讀儲存媒體及半導體檢測系統
本發明係關於半導體計量,且更特定言之係關於轉換計量資料以獲得半導體結構之參數量測。
半導體計量可用於藉由獲得計量資料且使用一半導體晶圓上之結構之一模型處理計量資料而量測結構之參數(即,參數值)。然而,諸如半週期性及非週期性結構之複雜結構難以或無法模型化。例如,光學臨界尺寸(OCD)計量適用於週期性結構但不適用於複雜非週期性結構。習知基於迴歸之模型化可無法用於複雜結構,且使用機器學習來模型化複雜結構可為無效的。例如,待用於機器學習之參考資料可為不可用的或可不包含足夠結構參數變化以產生相對於程序變化穩健之一經良好訓練之準確模型。
因此,需要使用計量資料來量測複雜半導體結構之參數之經改良方法及系統。
在一些實施例中,一種執行半導體計量之方法在一電腦系統中執行,該電腦系統包含一或多個處理器及儲存由該一或多個處理器執行之指令之記憶體。在該方法中,針對使用一製程製造的半導體晶粒上之 一裝置區域之複數個例項獲得第一計量資料。該裝置區域含有半週期性或非週期性結構。針對一計量目標之複數個例項獲得第二計量資料,其中該計量目標含有與該裝置區域中之該等半週期性或非週期性結構相異之結構。使用該第一計量資料及該第二計量資料訓練一機器學習模型以基於該裝置區域之計量資料來預測該計量目標之計量資料。針對一第一半導體晶粒上之該裝置區域之一例項獲得第三計量資料,該第一半導體晶粒與具有該裝置區域之該第一複數個例項之該半導體晶粒相異。使用該經訓練機器學習模型基於該第三計量資料來預測該計量目標之第四計量資料。使用該計量目標之一配方基於該第四計量資料來判定該計量目標之一或多個參數。至少部分基於該一或多個參數來監測及控制該製程。
在一些實施例中,一種非暫時性電腦可讀儲存媒體儲存由一電腦系統之一或多個處理器執行之一或多個程式。該一或多個程式包含用於使用第一計量資料及第二計量資料訓練一機器學習模型以基於裝置區域之計量資料來預測計量目標之計量資料之指令。該第一計量資料係針對使用一製程製造的半導體晶粒上之一裝置區域之複數個例項。該裝置區域含有半週期性或非週期性結構。該第二計量資料係針對一計量目標之複數個例項。該計量目標含有與該裝置區域中之該等半週期性或非週期性結構相異之結構。該一或多個程式亦包含用於使用該經訓練機器學習模型基於一第一半導體晶粒上之該裝置區域之一例項之第三計量資料來預測該計量目標之第四計量資料之指令,該第一半導體晶粒與具有該裝置區域之該第一複數個例項之該半導體晶粒相異。該一或多個程式亦包含用於使用該計量目標之一配方基於該第四計量資料來判定該計量目標之一或多個參數且用於至少部分基於該一或多個參數來監測及控制該製程之指令。
在一些實施例中,一種半導體檢測系統包含一或多個半導體計量工具、一或多個處理器及儲存由該一或多個處理器執行之一或多個程式之記憶體。該一或多個程式包含用於使用第一計量資料及第二計量資料訓練一機器學習模型以基於裝置區域之計量資料來預測計量目標之計量資料之指令。該第一計量資料係針對使用一製程製造的半導體晶粒上之一裝置區域之複數個例項。該裝置區域含有半週期性或非週期性結構。該第二計量資料係針對一計量目標之複數個例項。該計量目標含有與該裝置區域中之該等半週期性或非週期性結構相異之結構。該一或多個程式亦包含用於使用該經訓練機器學習模型基於一第一半導體晶粒上之該裝置區域之一例項之第三計量資料來預測該計量目標之第四計量資料之指令,該第一半導體晶粒與具有該裝置區域之該第一複數個例項之該半導體晶粒相異。該一或多個程式亦包含用於使用該計量目標之一配方基於該第四計量資料來判定該計量目標之一或多個參數且用於至少部分基於該一或多個參數來監測及控制該製程之指令。
100:半導體晶圓
102:半導體晶粒
104:裝置區域
106:計量目標
108:劃線道
110:光微影場/微影場
200:方法
202:步驟/獲得
204:係
206:獲得
208:步驟/不配對
210:步驟/位於
212:步驟/位於
214:訓練
216:獲得
218:步驟/預測
220:步驟/判定
222:選擇
224:步驟/監測及控制
302:第一計量資料
304:第二計量資料
306:計量資料預測模型
308:第三計量資料
310:第四計量資料
400:半導體檢測系統
402:處理器
404:通信匯流排
406:使用者介面
407:顯示器
408:輸入裝置
410:記憶體
412:作業系統
414:計量資料
416:計量資料預測模組
418:訓練模組
420:計量目標配方模組
421:配方
422:程序監測及控制模組
424:晶圓處置模組
426:效能估計模組
440:有線及/或無線網路
442-1至442-n:半導體計量工具
為更好地理解各種所描述實施方案,應結合以下圖式參考下文實施方式。
圖1展示根據一些實施例之已使用一製程在其上製造複數個半導體晶粒之一半導體晶圓。
圖2展示根據一些實施例之使用信號域適應執行半導體計量之一方法之一流程圖。
圖3展示根據一些實施例之圖2之方法中之資料流。
圖4係根據一些實施例之一半導體檢測系統之一方塊圖。
貫穿圖式及說明書,相同元件符號係指對應零件。
相關申請案
此申請案主張2019年10月14日申請之美國臨時專利申請案第62/914,621號之優先權,該案之全部內容出於所有目的以引用的方式併入本文中。
現將詳細參考各種實施例,在隨附圖式中繪示該等實施例之實例。在以下實施方式中,陳述數個特定細節以提供對各種所描述實施例之一透徹理解。然而,一般技術者將明白,可在無此等特定細節之情況下實踐各種所描述實施例。在其他例項中,並未詳細描述眾所周知的方法、程序、組件、電路及網路以免不必要地混淆該等實施例之態樣。
圖1展示根據一些實施例之已使用一製程在其上製造複數個半導體晶粒102之一半導體晶圓100。在一些實施例中,已完全製造半導體晶粒102,使得已執行製程中之全部步驟。替代地,僅已部分製造半導體晶粒102,使得製程已被執行直至一給定點且接著暫停,使得可對晶圓100上之結構進行量測。在任一情況中,晶圓100被稱為一全製程(full-loop)晶圓,此係因為已執行製程中從開始直至某一點(即,製程已暫停之給定點或製程之結束)之全部步驟。一全製程晶圓不同於一短製程(short-loop)晶圓:針對一短製程晶圓,僅執行製程中之步驟之一部分(例如,對應於一或多個層之步驟但並非直至某一點之每一層)。例如,可藉由執行從製程中之某一中點開始而非從製程起點開始之步驟而製造一短製程晶圓。
各晶粒102包含可使用一適當計量技術檢測之一裝置區域 104之一各自例項。實際上,一晶粒102可具有待檢測之多個所關注區域。以下揭示內容為簡單起見描述一單一裝置區域104,但可應用於一晶粒102上之多個裝置區域。晶圓100亦包含可使用一適當計量技術檢測之一計量目標106之例項。(為簡單起見,圖1中僅展示裝置區域104之一單一例項及計量目標106之一單一例項。)
在一些實施例中,計量目標106之例項位於分離晶粒102之劃線道108中。劃線道在晶圓100上水平及垂直地延伸(即,分別從左至右及從上至下)且一旦製造完成便被鋸斷以分離晶圓102以供封裝。在一個實例中,計量目標106之一例項可位於一各自晶粒102之上方、下方、左側及/或右側。在另一實例中,至少一個計量目標106可位於各光微影場(或微影場)110中,使得至少一個計量目標106位於與一各自晶粒102相同之光微影場110中。一光微影場110係在一單一光微影曝光中透過倍縮光罩曝露之晶粒102及隨附劃線道108之群組。更一般言之,計量目標106之一例項可位於裝置區域104之一各自例項之一指定距離內。
在其他實施例中,計量目標106之例項位於各自晶粒102中。例如,計量目標106之一單一各自例項可位於晶圓100上之各晶粒102中,或計量目標106之複數個例項可分佈於晶圓100上之各晶粒102內。將計量目標106包含在晶粒102中增加晶粒大小,然而因此降低晶圓良率且增加晶粒成本。
相同計量技術可用於檢測裝置區域104及計量目標106兩者之例項。替代地,裝置區域104之例項可使用一第一計量技術檢測且計量目標106之例項可使用與第一計量技術相異之一第二計量技術檢測。用於檢測裝置區域104及/或計量目標106之例項之計量技術之實例包含(但不限 於)橢圓偏光術、反射量測術、臨界尺寸小角度x射線光譜術(CD-SAXS)、軟x射線反射量測術(SXR)、掃描電子顯微術(SEM)及透射電子顯微術(TEM)。
裝置區域104中之結構或結構配置比計量目標106中之結構或結構配置更複雜。例如,計量目標106中之結構係週期性的,而裝置區域104中之結構係半週期性或非週期性的。半週期性結構係週期性的,惟週期性中存在一或多個中斷除外。例如,半週期性結構在一第一組層(例如,頂層或底層)中可為週期性的但在一第二組層(例如,底層或替代地頂層)中可為非週期性的。在一個此實例中,裝置區域104含有一陣列下方之CMOS電路(CUA或陣列下方之CMOS),其中陣列係週期性的且底層CMOS電路並非週期性的。半週期性結構之其他實例係可行的。
計量目標106中之結構可經模型化,且所得模型用於從計量目標106之該例項之計量資料提取計量目標106之一特定例項之參數資料。然而,裝置區域104中之結構可難以或無法以此方式模型化,使得無法可靠地從裝置區域104之計量資料提取準確參數資料。在此狀況中,裝置區域104之各自例項仍含有關於其等在製造期間經歷之製程中之變化(即,程序變化)之資訊。因此,裝置區域104之各自例項之計量資料至少近似含有此資訊,但此資訊無法直接從計量資料提取。為獲得此資訊,使用信號域適應將裝置區域104之一特定例項之計量資料轉換為計量目標106之一假設例項之計量資料。接著,使用計量目標106之模型提取計量目標106之假設例項之一或多個參數(即,參數值)。此等參數提供裝置區域104之特定例項之所要程序變化資訊。
圖2展示根據一些實施例之使用信號域適應執行半導體計 量之一方法200之一流程圖。方法200可在一電腦系統(例如,圖4之半導體檢測系統400之電腦系統)中執行。方法200中之步驟可經組合或分解。參考圖3描述方法200,圖3展示根據一些實施例之方法200中之資料流。圖3中展示之模組對應於儲存於電腦系統之記憶體(例如,圖4之記憶體410)中之指令。方法200可自動執行,而無需使用者介入。
在方法200中,針對使用一製程製造的半導體晶粒102上之一裝置區域104(圖1)之複數個例項獲得(202)第一計量資料302(圖3)。裝置區域104含有半週期性或非週期性結構。針對一計量目標106之複數個例項獲得(202)第二計量資料304。計量目標106含有與裝置區域104中之半週期性或非週期性結構相異之結構。在一些實施例中,計量目標106中含有之結構係(204)週期性的。直接或間接從一或多個計量工具442(圖4)獲得第一計量資料302及第二計量資料304。
在一些實施例中,獲得(202)第一計量資料302及第二計量資料304包含獲得(206)裝置區域104及計量目標106之複數個配對例項之計量資料。複數個配對例項可位於一或多個半導體晶圓100(圖1)上,在該一或多個半導體晶圓100上,半導體晶粒102被劃線道108分離。例如,複數個配對例項之一各自配對例項(例如,各配對例項)包含一各自半導體晶粒102上之裝置區域104之一例項及相鄰於各自半導體晶粒102之一各自劃線道108中之計量目標106之一例項。在另一實例中,將一或多個半導體晶圓100劃分為多個微影場110,其中根據一實驗設計(被稱為一實驗設計或DOE)使用製程之不同條件製造不同微影場110。複數個配對例項之各自配對例項(例如,每一配對例項)各包含一微影場110中之一各自半導體晶粒102上之裝置區域104之一例項及位於相同微影場110中之計量目標 106之一例項。在又另一實例中,複數個配對例項之各自配對例項(例如,每一配對例項)各包含一各自半導體晶粒102上之裝置區域104之一例項及位於相同半導體晶粒102上之計量目標106之一例項。更一般言之,複數個配對例項之各自配對例項(例如,每一配對例項)各包含裝置區域104之一例項及位於彼此之一指定距離內之計量目標106之一例項。
在其他實施例中,裝置區域104之複數個例項不與計量目標106之複數個例項配對(208)。例如,裝置區域104之複數個例項可係來自一第一組之一或多個晶圓100且計量目標106之複數個例項可係來自一第二非重疊組之一或多個晶圓100。
在一些實施例中,裝置區域104之複數個例項位於(210)使用製程中之一系列步驟製造的一或多個全製程半導體晶圓100上。計量目標106之複數個例項位於使用製程中之該系列步驟之一子集製造的一或多個短製程半導體晶圓上。根據一些實施例,在變化程序條件下製造一或多個短製程半導體晶圓(例如,其中在不同程序條件下製造不同微影場110)。此等實施例係裝置區域104之複數個例項不與計量目標106之複數個例項配對(208)之實例。
在一些實施例中,裝置區域104之複數個例項位於(212)一或多個半導體晶圓100上。計量目標106之複數個例項係模擬的。因此,第二計量資料304可包含模擬計量資料(例如,係模擬及/或真實計量資料)。例如,在步驟202中獲得第二計量資料(或其之一部分)可包含在製程之變化模擬條件下對計量目標106之一模型執行模擬。此等實施例係其中裝置區域104之複數個例項不與計量目標106之複數個例項配對(208)之進一步實例。
使用第一計量資料302及第二計量資料304訓練(214)一機器學習模型以基於裝置區域104之計量資料來預測計量目標106之計量資料。例如,將第一計量資料302及第二計量資料304提供至一訓練模組418(圖3),該訓練模組418訓練一計量資料預測模型306。在一些實施例中,機器學習模型(例如,計量資料預測模型306)係一神經網路,其藉由調整神經網路內之各自節點處之權重而進行訓練。
在其中裝置區域104之複數個例項與計量目標106之複數個例項配對之一些實施例中,藉由調整權重以最小化第二計量資料304與由模型基於第一計量資料302預測之計量資料之間的差異而訓練機器學習模型。例如,若第一計量資料302包含裝置區域104之例項之光譜(例如,光學光譜)S DA ,則機器學習模型實施產生計量目標106之預測計量資料之一函數G(S DA ),且若第二計量資料304包含計量目標106之例項之光譜(例如,光學光譜)S MT ,則機器學習模型之權重w被判定為:w=argminG(S DA )-S MT ∥ (1)因此,第二計量資料304用作實況,在訓練程序期間比較該實況與機器學習模型之輸出,其中相應地調整機器學習模型。由於各配對例項之裝置區域104與計量目標106之間的近接性,根據一些實施例,一各自配對例項之裝置區域104及計量目標106經歷類似(例如,近似等效)程序變化,從而使第二計量資料304成為一可接受實況來源。
在其中裝置區域104之複數個例項不與計量目標106之複數個例項配對之一些實施例中(例如,根據步驟208、210及/或212),使用一循環生成式對抗網路(循環GAN)執行訓練。循環GAN涉及兩個模型:一產生器及一判別器,其等兩者皆在訓練步驟202期間進行訓練。產生器實 施一函數G,該函數G基於裝置區域104之計量資料來預測計量目標106之預測計量資料。在訓練期間,產生器接收第一計量資料302作為輸入。例如,若第一計量資料302包含裝置區域104之例項之光譜(例如,光學光譜)S DA ,則機器學習模型實施產生計量目標106之預測計量資料之一函數G(S DA )。判別器判定計量目標106之計量資料是否可能是真或假。在訓練期間,判別器接收第二計量資料304(例如,S MT )作為計量目標106之真實計量資料之實例(即使第二計量資料304係模擬的,循環GAN之內容脈絡中之字詞「真實」與計量資料之內容脈絡中之字詞「真實」不同地使用),且將產生器之輸出(例如,G(S DA ))分類為真或假。當判別器在一指定程度上相信產生器之輸出為真時,訓練完成。
針對一第一半導體晶粒102上之裝置區域104之一例項獲得(216)第三計量資料308,該第一半導體晶粒102與具有裝置區域104之第一複數個例項之半導體晶粒102相異。在一些實施例中,第三計量資料308直接或間接從一計量工具442(圖4)獲得且被提供至一計量資料預測模組416(圖3)。第一半導體晶粒102可位於一晶圓100上,該晶圓100與經檢測以產生第一計量資料302及第二計量資料304(或經檢測以產生第一計量資料302,前提是第二計量資料304係模擬的)之一或多個晶圓100不同(例如,來自與一或多個晶圓100不同之晶圓批次)。例如,經檢測以產生第一計量資料302及/或第二計量資料304之一或多個晶圓100可為實驗晶圓(例如,其實施一程序變化DOE),而第一半導體晶粒102位於一生產晶圓上。
使用經訓練機器學習模型基於第三計量資料308來預測(218)計量目標106(即,計量目標106之一假設例項)之第四計量資料310。例如,計量資料預測模組416(圖3)從訓練模組418接收經訓練計量 資料預測模型306且藉由使用經訓練計量資料預測模型306處理第三計量資料308而預測第四計量資料310。在一些實施例中,若循環GAN用於訓練計量資料預測模型306,則經訓練產生器(其係計量資料預測模型306之部分)用於基於第三計量資料308來預測第四計量資料310。
使用計量目標106之一配方基於第四計量資料310來判定(220)計量目標106(即,針對步驟218描述之計量目標106之假設例項)之一或多個參數。例如,一計量目標配方模組420(圖3)接收第四計量資料310且藉由使用一配方421(圖4)處理第四計量資料310而判定一或多個參數。一或多個參數可包含幾何參數(例如,臨界尺寸(CD)、疊對、側壁角、邊緣放置誤差等)及/或製程參數(例如,光微影焦點、光微影劑量等)。在一些實施例中,從由CD、疊對、側壁角、邊緣放置誤差、光微影焦點及光微影劑量構成之群組選擇(222)一或多個參數。在一些實施例中,配方(例如,圖4之配方421)係一基於模型之配方。一基於模型之配方可為例如基於迴歸的。在另一實例中,一基於模型之配方可為自使用一模型及一模擬器產生之資料訓練之一基於機器學習之配方。在一些其他實施例中,配方(例如,圖4之配方421)係使用來自晶圓之真實計量資料及來自一參考計量工具之參考訓練之一無模型(即,不具有模型)配方。在又其他實施例中,配方(例如,圖4之配方421)可使用模擬計量資料及真實計量資料兩者來訓練。此一配方可被視為基於模型的,此係因為模擬計量資料係使用模型及模擬器產生。
至少部分基於如在步驟220中判定之一或多個參數來監測及控制(224)製程。在一些實施例中,此監測及控制由一程序監測及控制模組422(圖3)執行。例如,若一或多個參數之全部或一部分與各自目標 值相差達滿足(例如,超過,或等於或超過)一臨限值之一量,則調整製程,使得未來晶圓100上之晶粒之值將在目標值之範圍內(例如,將不滿足臨限差異)。在另一實例中,一或多個參數可被提供為一統計程序控制(SPC)程序之輸入,且可基於SPC結果來調整一或多個製程參數。
替代執行步驟224或除此以外,方法200可包含至少部分基於如在步驟220中判定之一或多個參數來處置第一半導體晶粒102位於其上之一半導體晶圓100。處置半導體晶圓100可包含在繼續處理晶圓100、重加工晶圓100或棄置晶圓100之間進行選擇。處置可由一晶圓處置模組424(圖3)執行。
替代執行步驟224及/或執行處置或除此以外,方法200可包含至少部分基於如在步驟220中判定之一或多個參數來估計第一半導體晶粒102之效能(例如,速度及/或功率消耗)。此估計可由一效能估計模組426(圖3)執行。
第一計量資料302、第二計量資料304、第三計量資料308及第四計量資料310可包含(但不限於)關於圖1描述之計量類型之任一者之計量資料。例如,第一計量資料302、第二計量資料304、第三計量資料308及第四計量資料310可包含相同類型之計量資料,其中第二計量資料304係真實及/或模擬的且第四計量資料310係在步驟218中產生之預測資料。在一個此實例中,第一計量資料302、第二計量資料304、第三計量資料308及第四計量資料310各包含光學光譜之資料(例如,橢圓偏光術資料或反射量測術資料)。替代地,第一計量資料302及第三計量資料308各包含一第一類型之計量資料,而第二計量資料304及第四計量資料310各包含與第一類型之計量資料相異之一第二類型之計量資料。在一個此實例 中,第一計量資料302及第三計量資料308各包含光學光譜之資料(例如,橢圓偏光術資料或反射量測術資料),而第二計量資料304及第四計量資料310各包含SEM資料(或反之亦然)。許多其他實例係可行的。
圖4係根據一些實施例之一半導體檢測系統400之一方塊圖。半導體檢測系統400包含一或多個半導體計量工具442-1至442-n(其中n係大於或等於1之一整數)及一電腦系統,該電腦系統具有一或多個處理器402(例如,CPU及/或GPU)、使用者介面406、記憶體410及將此等組件互連之一或多個通信匯流排404。電腦系統可透過一或多個有線及/或無線網路440與一或多個半導體計量工具442通信地耦合。電腦系統可進一步包含用於與一或多個半導體計量工具442及/或遠端電腦系統通信之一或多個網路介面(有線及/或無線,未展示)。一或多個半導體計量工具442之實例包含(但不限於)一橢圓偏光儀、反射計、CD-SAXS工具、SXR工具、SEM(例如,CD-SEM)或TEM。在一些實施例中,一半導體計量工具442可經組態以執行多種類型之計量。在一些實施例中,半導體計量工具442可包含不同類型之計量工具(例如,一橢圓偏光儀及一SEM等)。
使用者介面406可包含一顯示器407及一或多個輸入裝置408(例如,一鍵盤、滑鼠、顯示器407之觸敏表面等)。顯示器407可報告方法200(圖2)之結果。例如,顯示器407可顯示在步驟220中判定之一或多個參數、根據一或多個參數產生之計量目標106之一影像、步驟224之監測結果、依據步驟224之程序控制對製程進行或待進行之調整、由晶圓處置模組424(圖3)判定之晶圓處置結果及/或由效能估計模組426(圖3)判定之裝置效能估計。
記憶體410包含揮發性及/或非揮發性記憶體。記憶體410 (例如,記憶體410內之非揮發性記憶體)包含一非暫時性電腦可讀儲存媒體。記憶體410視情況包含遠離於處理器402定位之一或多個儲存裝置及/或可移除地插入至電腦系統中之一非暫時性電腦可讀儲存媒體。在一些實施例中,記憶體410(例如,記憶體410之非暫時性電腦可讀儲存媒體)儲存以下模組及資料或其等之一子集或超集:一作業系統412,其包含用於處理各種基本系統服務及用於執行硬體相關任務之程序;計量資料414(例如,第一計量資料302、第二計量資料304、第三計量資料308及第四計量資料310);計量資料預測模組416(圖3);訓練模組418(圖3);計量目標配方模組420(圖3);程序監測及控制模組422(圖3);晶圓處置模組424(圖3);及效能估計模組426。計量資料預測模組416包含計量資料預測模型306(圖3)。計量目標配方模組420包含一配方421。
因此,記憶體410(例如,記憶體410之非暫時性電腦可讀儲存媒體)包含用於執行方法200(圖2)之全部或一部分及用於實施圖3之資料流之指令。儲存於記憶體410中之模組之各者對應於用於執行本文中描述之一或多個功能之一指令集。單獨模組無需被實施為單獨軟體程式。模組及模組之各種子集可經組合或以其他方式重新配置。在一些實施例中,記憶體410儲存上文識別之模組及/或資料結構之一子集或超集。
圖4更旨在作為可存在於一半導體檢測系統中之各種特徵之一功能描述而非作為一結構示意圖。例如,可在多個裝置之間分割半導體檢測系統400中之電腦系統之功能性。儲存於記憶體410中之模組之一部分可替代地儲存於透過一或多個網路與半導體檢測系統400之電腦系統通信地耦合之一或多個其他電腦系統中。
出於說明之目的,已參考特定實施例描述前述描述。然 而,上文闡釋性論述不旨在為窮盡性的或將發明申請專利範圍限於所揭示之精確形式。鑑於上文教示,許多修改及變化係可行的。實施例經選擇以最佳地說明發明申請專利範圍之基本原理及其等之實際應用,以藉此使熟習此項技術者能夠最佳地使用具有適合於特定預期用途之各種修改之實施例。
200:方法
202:步驟/獲得
204:係
206:獲得
208:步驟/不配對
210:步驟/位於
212:步驟/位於
214:訓練
216:獲得
218:步驟/預測
220:步驟/判定
222:選擇
224:步驟/監測及控制

Claims (25)

  1. 一種執行半導體計量之方法,其包括, 在包括一或多個處理器及儲存由該一或多個處理器執行之指令之記憶體之一電腦系統中: 針對使用一製程製造的半導體晶粒上之一裝置區域之複數個例項獲得第一計量資料,該裝置區域含有半週期性或非週期性結構; 針對一計量目標之複數個例項獲得第二計量資料,其中該計量目標含有與該裝置區域中之該等半週期性或非週期性結構相異之結構; 使用該第一計量資料及該第二計量資料訓練一機器學習模型以基於該裝置區域之計量資料來預測該計量目標之計量資料; 針對一第一半導體晶粒上之該裝置區域之一例項獲得第三計量資料,該第一半導體晶粒與具有該裝置區域之該第一複數個例項之該半導體晶粒相異; 使用該經訓練機器學習模型基於該第三計量資料來預測該計量目標之第四計量資料; 使用該計量目標之一配方基於該第四計量資料來判定該計量目標之一或多個參數;及 至少部分基於該一或多個參數來監測及控制該製程。
  2. 如請求項1之方法,其中該計量目標中含有之該等結構係週期性的。
  3. 如請求項1之方法,其中獲得該第一計量資料及該第二計量資料包括獲得該裝置區域及該計量目標之複數個配對例項之計量資料。
  4. 如請求項3之方法,其中: 該複數個配對例項位於一或多個半導體晶圓上,在該一或多個半導體晶圓上,半導體晶粒被劃線道分離;且 該複數個配對例項之一各自配對例項包括一各自半導體晶粒上之該半導體區域之一例項及相鄰於該各自半導體晶粒之一各自劃線道中之該計量目標之一例項。
  5. 如請求項3之方法,其中: 該複數個配對例項包括位於一半導體晶圓上之該裝置區域及該計量目標之配對例項; 將該半導體晶圓劃分為多個微影場,其中根據一實驗設計(DOE)使用該製程之不同條件製造不同微影場;且 該複數個配對例項之各自配對例項各包括一微影場中之一各自半導體晶粒上之該裝置區域之一例項及位於與該各自半導體晶粒相同之微影場中之該計量目標之一例項。
  6. 如請求項1之方法,其中: 該裝置區域之該複數個例項不與該計量目標之該複數個例項配對;且 訓練該機器學習模型包括使用一循環生成式對抗網絡網路(循環GAN)技術。
  7. 如請求項1之方法,其中: 該裝置區域之該複數個例項位於使用該製程中之一系列步驟製造的一或多個全製程半導體晶圓上;且 該計量目標之該複數個例項位於使用該製程中之該系列步驟之一子集製造的一或多個短製程半導體晶圓上。
  8. 如請求項1之方法,其中: 該裝置區域之該複數個例項位於一或多個半導體晶圓上;且 該計量目標之該複數個例項係模擬的,其中獲得該第二計量資料包括在該製程之變化模擬條件下對該計量目標之一模型執行模擬。
  9. 如請求項1之方法,其中該第一計量資料、該第二計量資料、該第三計量資料及該第四計量資料各包括選自由以下項構成之群組之計量資料:橢圓偏光術資料、反射量測術資料、臨界尺寸小角度x射線光譜術(CD-SAXS)繞射資料、軟x射線反射量測術(SXR)繞射資料、掃描電子顯微術(SEM)資料及透射電子顯微術(TEM)資料。
  10. 如請求項9之方法,其中該第一計量資料、該第二計量資料、該第三計量資料及該第四計量資料包括相同類型之計量資料。
  11. 如請求項10之方法,其中該第一計量資料、該第二計量資料、該第三計量資料及該第四計量資料各包括光學光譜之資料。
  12. 如請求項9之方法,其中: 該第一計量資料及該第三計量資料各包括一第一類型之計量資料;且 該第二計量資料及該第四計量資料各包括與該第一類型之計量資料相異之一第二類型之計量資料。
  13. 如請求項12之方法,其中: 該第一計量資料及該第三計量資料各包括光學光譜之資料;且 該第二計量資料及該第四計量資料各包括SEM資料。
  14. 如請求項12之方法,其中: 該第一計量資料及該第三計量資料各包括SEM資料;且 該第二計量資料及該第四計量資料各包括光學光譜之資料。
  15. 如請求項1之方法,其中該一或多個參數係選自由以下項構成之群組:臨界尺寸(CD)、疊對、側壁角、邊緣放置誤差、光微影焦點及光微影劑量。
  16. 一種非暫時性電腦可讀儲存媒體,其儲存由一電腦裝置之一或多個處理器執行之一或多個程式,該一或多個程式包括用於以下項之指令: 使用第一計量資料及第二計量資料訓練一機器學習模型以基於裝置區域之計量資料來預測計量目標之計量資料,其中: 該第一計量資料係針對使用一製程製造的半導體晶粒上之一裝置區域之複數個例項,該裝置區域含有半週期性或非週期性結構;且 該第二計量資料係針對一計量目標之複數個例項,該計量目標含有與該裝置區域中之該等半週期性或非週期性結構相異之結構; 使用該經訓練機器學習模型基於一第一半導體晶粒上之該裝置區域之一例項之第三計量資料來預測該計量目標之第四計量資料,該第一半導體晶粒與具有該裝置區域之該第一複數個例項之該半導體晶粒相異; 使用該計量目標之一配方基於該第四計量資料來判定該計量目標之一或多個參數;及 至少部分基於該一或多個參數來監測及控制該製程。
  17. 如請求項16之電腦可讀儲存媒體,其中該計量目標中含有之該等結構係週期性的。
  18. 如請求項16之電腦可讀儲存媒體,其中: 該裝置區域之該複數個例項位於使用該製程中之一系列步驟製造的一或多個全製程半導體晶圓上;且 該計量目標之該複數個例項位於使用該製程中之該系列步驟之一子集製造的一或多個短製程半導體晶圓上。
  19. 如請求項16之電腦可讀儲存媒體,其中: 該裝置區域之該複數個例項位於一或多個半導體晶圓上; 該計量目標之該複數個例項係模擬的;且 該一或多個程式進一步包括用於在該製程之變化模擬條件下對該計量目標之一模型執行模擬以產生該計量目標之該複數個例項之指令。
  20. 如請求項16之電腦可讀儲存媒體,其中: 該第一計量資料及該第三計量資料各包括一第一類型之計量資料;且 該第二計量資料及該第四計量資料各包括與該第一類型之計量資料相異之一第二類型之計量資料。
  21. 一種半導體檢測系統,其包括: 一或多個半導體計量工具; 一或多個處理器;及 記憶體,其儲存由該一或多個處理器執行之一或多個程式,該一或多個程式包括用於以下項之指令: 使用第一計量資料及第二計量資料訓練一機器學習模型以基於裝置區域之計量資料來預測計量目標之計量資料,其中: 該第一計量資料係針對使用一製程製造的半導體晶粒上之一裝置區域之複數個例項,該裝置區域含有半週期性或非週期性結構;且 該第二計量資料係針對一計量目標之複數個例項,該計量目標含有與該裝置區域中之該等半週期性或非週期性結構相異之結構; 使用該經訓練機器學習模型基於一第一半導體晶粒上之該裝置區域之一例項之第三計量資料來預測該計量目標之第四計量資料,該第一半導體晶粒與具有該裝置區域之該第一複數個例項之該半導體晶粒相異; 使用該計量目標之一配方基於該第四計量資料來判定該計量目標之一或多個參數;及 至少部分基於該一或多個參數來監測及控制該製程。
  22. 如請求項21之半導體檢測系統,其中該計量目標中含有之該等結構係週期性的。
  23. 如請求項21之半導體檢測系統,其中: 該裝置區域之該複數個例項位於使用該製程中之一系列步驟製造的一或多個全製程半導體晶圓上;且 該計量目標之該複數個例項位於使用該製程中之該系列步驟之一子集製造的一或多個短製程半導體晶圓上。
  24. 如請求項21之半導體檢測系統,其中: 該裝置區域之該複數個例項位於一或多個半導體晶圓上; 該計量目標之該複數個例項係模擬的;且 該一或多個程式進一步包括用於在該製程之變化模擬條件下對該計量目標之一模型執行模擬以產生該計量目標之該複數個例項之指令。
  25. 如請求項21之半導體檢測系統,其中: 該第一計量資料及該第三計量資料各包括一第一類型之計量資料;且 該第二計量資料及該第四計量資料各包括與該第一類型之計量資料相異之一第二類型之計量資料。
TW109134685A 2019-10-14 2020-10-07 執行半導體計量之方法、相關聯之非暫時性電腦可讀儲存媒體及半導體檢測系統 TWI833043B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962914621P 2019-10-14 2019-10-14
US62/914,621 2019-10-14
US16/724,058 US11415898B2 (en) 2019-10-14 2019-12-20 Signal-domain adaptation for metrology
US16/724,058 2019-12-20

Publications (2)

Publication Number Publication Date
TW202129225A TW202129225A (zh) 2021-08-01
TWI833043B true TWI833043B (zh) 2024-02-21

Family

ID=75382134

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109134685A TWI833043B (zh) 2019-10-14 2020-10-07 執行半導體計量之方法、相關聯之非暫時性電腦可讀儲存媒體及半導體檢測系統

Country Status (6)

Country Link
US (1) US11415898B2 (zh)
EP (1) EP4035203A4 (zh)
KR (1) KR102637430B1 (zh)
CN (1) CN114503123B (zh)
TW (1) TWI833043B (zh)
WO (1) WO2021076387A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11415898B2 (en) * 2019-10-14 2022-08-16 Kla Corporation Signal-domain adaptation for metrology
US11836429B2 (en) * 2019-10-23 2023-12-05 Lam Research Corporation Determination of recipes for manufacturing semiconductor devices
WO2023151973A1 (en) * 2022-02-10 2023-08-17 Asml Netherlands B.V. Systems and methods for generating sem-quality metrology data from optical metrology data using machine learning
US20230417682A1 (en) * 2022-06-23 2023-12-28 Onto Innovation Inc. Metrology solutions for complex structures of interest
US20240054333A1 (en) * 2022-08-09 2024-02-15 Applied Materials, Inc. Piecewise functional fitting of substrate profiles for process learning
US20240060914A1 (en) * 2022-08-16 2024-02-22 Kla Corporation Methods And Systems For X-Ray Scatterometry Measurements Employing A Machine Learning Based Electromagnetic Response Model
WO2024078883A1 (en) * 2022-10-14 2024-04-18 Carl Zeiss Smt Gmbh Method to obtain information to control a manufacturing process for a stacked semiconductor device and detection system using such method

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160266505A1 (en) * 2015-01-30 2016-09-15 Kla-Tencor Corporation Device metrology targets and methods
US20160282105A1 (en) * 2015-03-24 2016-09-29 Kla-Tencor Corporation Model-Based Single Parameter Measurement
TW201842457A (zh) * 2017-04-12 2018-12-01 美商諳科半導體有限公司 以圖案為中心之製程控制
TW201901113A (zh) * 2017-05-11 2019-01-01 美商克萊譚克公司 用於對準以不同模態所獲取之影像之以學習為基礎之方法
TW201921174A (zh) * 2017-09-04 2019-06-01 荷蘭商Asml荷蘭公司 用於監測製程之方法及裝置、檢測裝置、微影系統及器件製造方法
TW201925910A (zh) * 2017-11-23 2019-07-01 荷蘭商Asml荷蘭公司 用於判定圖案化製程參數的方法及裝置
TW201934958A (zh) * 2017-12-12 2019-09-01 美商克萊譚克公司 增強度量目標資訊內容

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US20040267397A1 (en) 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7974982B2 (en) * 2008-02-04 2011-07-05 Disney Enterprises, Inc. System and method for device profiling using cascaded databases
US7742889B2 (en) * 2008-03-27 2010-06-22 Tokyo Electron Limited Designing an optical metrology system optimized with signal criteria
TWI349867B (en) 2008-05-20 2011-10-01 Univ Nat Cheng Kung Server and system and method for automatic virtual metrology
US9103664B2 (en) * 2010-04-01 2015-08-11 Tokyo Electron Limited Automated process control using an adjusted metrology output signal
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US10352876B2 (en) 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US10139352B2 (en) 2014-10-18 2018-11-27 Kla-Tenor Corporation Measurement of small box size targets
US10365225B1 (en) 2015-03-04 2019-07-30 Kla-Tencor Corporation Multi-location metrology
US10380728B2 (en) * 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
US20200025554A1 (en) * 2015-12-08 2020-01-23 Kla-Tencor Corporation System, method and computer program product for fast automatic determination of signals for efficient metrology
US10360477B2 (en) * 2016-01-11 2019-07-23 Kla-Tencor Corp. Accelerating semiconductor-related computations using learning based models
US10727142B2 (en) * 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US11415898B2 (en) * 2019-10-14 2022-08-16 Kla Corporation Signal-domain adaptation for metrology

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160266505A1 (en) * 2015-01-30 2016-09-15 Kla-Tencor Corporation Device metrology targets and methods
US20160282105A1 (en) * 2015-03-24 2016-09-29 Kla-Tencor Corporation Model-Based Single Parameter Measurement
TW201842457A (zh) * 2017-04-12 2018-12-01 美商諳科半導體有限公司 以圖案為中心之製程控制
TW201901113A (zh) * 2017-05-11 2019-01-01 美商克萊譚克公司 用於對準以不同模態所獲取之影像之以學習為基礎之方法
TW201921174A (zh) * 2017-09-04 2019-06-01 荷蘭商Asml荷蘭公司 用於監測製程之方法及裝置、檢測裝置、微影系統及器件製造方法
TW201925910A (zh) * 2017-11-23 2019-07-01 荷蘭商Asml荷蘭公司 用於判定圖案化製程參數的方法及裝置
TW201934958A (zh) * 2017-12-12 2019-09-01 美商克萊譚克公司 增強度量目標資訊內容

Also Published As

Publication number Publication date
KR20220082848A (ko) 2022-06-17
EP4035203A1 (en) 2022-08-03
JP2022552191A (ja) 2022-12-15
EP4035203A4 (en) 2023-11-22
US11415898B2 (en) 2022-08-16
KR102637430B1 (ko) 2024-02-15
TW202129225A (zh) 2021-08-01
CN114503123B (zh) 2023-07-14
WO2021076387A1 (en) 2021-04-22
US20210109453A1 (en) 2021-04-15
CN114503123A (zh) 2022-05-13

Similar Documents

Publication Publication Date Title
TWI833043B (zh) 執行半導體計量之方法、相關聯之非暫時性電腦可讀儲存媒體及半導體檢測系統
KR102275473B1 (ko) 생산 공정을 제어 또는 모니터링하기 위한 예상 데이터 생성
US11086229B2 (en) Method to predict yield of a device manufacturing process
TWI672565B (zh) 模型化系統或執行諸如微影系統之系統之預測維修之方法及相關聯微影系統
TWI686684B (zh) 量測系統及方法
KR102649158B1 (ko) 반도체 제조 공정의 수율을 예측하는 방법
US20190004504A1 (en) Systems and methods for predicting defects and critical dimension using deep learning in the semiconductor manufacturing process
TW201535137A (zh) 依據要求之目標及程序之敏感度分析
US10140400B2 (en) Method and system for defect prediction of integrated circuits
US20220129775A1 (en) Prediction and metrology of stochastic photoresist thickness defects
CN111566789B (zh) 使用电子显微法的半导体计量及缺陷分类
JP7490762B2 (ja) 計測のための信号-領域適応
WO2019006222A1 (en) SYSTEMS AND METHODS FOR PREDICTING DEFECTS AND CRITICAL DIMENSION USING DEEP LEARNING IN A SEMICONDUCTOR MANUFACTURING PROCESS
TWI668517B (zh) 用於預測度量衡系統之效能之方法與裝置