TWI640082B - 使用互補式金氧半導體製造流程以製造電荷捕獲閘極堆疊的方法 - Google Patents

使用互補式金氧半導體製造流程以製造電荷捕獲閘極堆疊的方法 Download PDF

Info

Publication number
TWI640082B
TWI640082B TW104100137A TW104100137A TWI640082B TW I640082 B TWI640082 B TW I640082B TW 104100137 A TW104100137 A TW 104100137A TW 104100137 A TW104100137 A TW 104100137A TW I640082 B TWI640082 B TW I640082B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
oxide layer
cap
dielectric
Prior art date
Application number
TW104100137A
Other languages
English (en)
Other versions
TW201533890A (zh
Inventor
克里希納斯瓦米 庫馬爾
謝慧美
Original Assignee
美商賽普拉斯半導體公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商賽普拉斯半導體公司 filed Critical 美商賽普拉斯半導體公司
Publication of TW201533890A publication Critical patent/TW201533890A/zh
Application granted granted Critical
Publication of TWI640082B publication Critical patent/TWI640082B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour

Abstract

描述了一種製造記憶體元件的方法。一般而言,該方法包括:在基板表面形成一介電堆疊,其含有穿隧介電質與覆蓋該穿隧介電質的電荷捕獲層;在介電堆疊上方沈積含有氧化物的一第一覆蓋層;在第一覆蓋層上方形成含有氮化物的一第二覆蓋層;圖樣化該第一和第二覆蓋層以及該介電堆疊以形成一記憶體元件的一閘極堆疊;移除該第二覆蓋層;以及執行一氧化製程以在該電荷捕獲層之上形成一阻擋氧化層,其中該氧化製程消耗該第一覆蓋層。也描述了其他具體實例。

Description

使用互補式金氧半導體製造流程以製造電荷捕獲閘極堆疊的方法 【相關申請案之交互參照】
本申請案主張基於35 U.S.C.119(e)享有在2014年2月6日申請之第61/936,546號美國臨時申請案的優先權,其全部內容係併入本文作為參考。
本揭露一般係關於半導體元件的領域,且更特別是關於將電荷捕獲閘級堆疊整合至互補式金氧半導體流程的方法。
雖然基於金屬氧化物半導體場效電晶體(MOSFETs)之含有邏輯元件和界面電路的積體電路係典型地使用標準的互補式金氧半導體(CMOS)製造流程製造,其涉及導電材料、半導體材料和介電材料的形成與圖樣化。用於這種互補式金氧半導體製造流程的這些材料之組成,還有加工試劑的組成和濃度,以及溫度在每個操作中係被嚴格控制以確保產生的MOSFETs能夠正常運行。對於許多的應用,希望的是在積體電路中包括含有電荷捕獲閘級堆疊之以FETs為基礎的非揮發性記憶體元件。電荷捕獲閘級堆疊的形成涉及在兩介電或氧化層之間夾著氮化物或氮氧化物的電荷捕獲層的形成,其典型地稱為氧化物-氮化物-氧化物(Oxide-Nitride-Oxide, ONO)堆疊。製造ONO堆疊的傳統技術是使用和標準互補式金氧半導體製造流程明顯不同的材料和製程,且其會決定性的影響MOSFETs的製造或會被MOSFETs的製造所影響。特別是,藉由改變頂部氧化層或阻擋氧化層的厚度或組成,MOSFET之閘極氧化層或介電的形成可明顯地降低先前形成之ONO堆疊的效能。
描述了製造一種包括記憶體元件和邏輯元件之電路的方法。在一具體實例中,該方法包括在一基板表面上形成一介電堆疊,其包括一穿隧介電質和覆蓋該穿隧介電質的一電荷捕獲層。含有氧化物的一第一覆蓋層沈積在介電堆疊之上,且含有氮化物的一第二覆蓋層形成在該第一覆蓋層之上。該第一和第二覆蓋層與該介電堆疊係被圖樣化以形成一記憶體元件的一閘極堆疊。移除該第二覆蓋層且進行一氧化製程以在該電荷捕獲層上形成一阻擋氧化層,其中該氧化製程會消耗該第一覆蓋層。
202‧‧‧通道
204‧‧‧第一區
206‧‧‧基板
208‧‧‧通道
210‧‧‧第二區
211‧‧‧襯墊氧化層
212‧‧‧隔離結構
214‧‧‧介電堆疊
215‧‧‧圖樣化遮罩層
216‧‧‧穿隧介電質
218‧‧‧電荷捕獲層
218a‧‧‧第一電荷捕獲層
218b‧‧‧第二電荷捕獲層
220‧‧‧中間氧化層
222‧‧‧覆蓋層
222a‧‧‧第一覆蓋層
222b‧‧‧第二覆蓋層
224‧‧‧犧牲氧化層
226‧‧‧圖樣化遮罩層
228‧‧‧閘極堆疊
230‧‧‧阻擋氧化層
232‧‧‧第一閘極氧化層
234‧‧‧MOS元件
236‧‧‧HV MOS元件
238‧‧‧圖樣化遮罩層
240‧‧‧開口
242‧‧‧第二閘極氧化層
244‧‧‧閘極層
246‧‧‧閘極
248‧‧‧記憶體元件
250‧‧‧閘極
252‧‧‧閘極
254‧‧‧側壁隔離層
256‧‧‧延伸區域
258‧‧‧源極和汲極區域
306‧‧‧基板
316‧‧‧穿隧介電質
318‧‧‧電荷捕獲層
318a‧‧‧第一電荷捕獲層
318b‧‧‧第二電荷捕獲層
322‧‧‧覆蓋層
322a‧‧‧第一覆蓋層
322b‧‧‧第二覆蓋層
324‧‧‧犧牲氧化層
328‧‧‧閘極堆疊
330‧‧‧阻擋氧化層
402‧‧‧通道
406‧‧‧基板
416‧‧‧穿隧介電質
418‧‧‧電荷捕獲層
418a‧‧‧第一電荷捕獲層
418b‧‧‧第二電荷捕獲層
423‧‧‧第一犧牲氧化層
424‧‧‧第二犧牲氧化層
428‧‧‧閘極堆疊
430‧‧‧阻擋氧化層
600‧‧‧積體電路
606‧‧‧基板
602‧‧‧記憶體元件
604‧‧‧第一區
608‧‧‧MOS元件
610‧‧‧第二區
614‧‧‧通道
616‧‧‧表面
618‧‧‧源極
620‧‧‧汲極
622‧‧‧閘極堆疊
624‧‧‧穿隧介電質
626‧‧‧電荷捕獲層
628‧‧‧阻擋氧化層
630‧‧‧閘極層
632‧‧‧絕緣或介電層
634‧‧‧通道
636‧‧‧源極
638‧‧‧汲極
640‧‧‧閘極
642‧‧‧閘極氧化層
644‧‧‧多晶矽閘極層
本發明的具體實例將會從下面的詳細說明和從伴隨的圖式與下面提供之隨附的申請專利範圍被更完整的了解,其中:圖1是闡明製造含有金屬氧化物半導體場效電晶體(MOSFETs)與帶有電荷捕獲閘級堆疊之非揮發性記憶體(NVM)元件的積體電路(IC)的方法流程圖;圖2A-2Q是闡明在根據圖1方法製造IC時的IC部分截面的方塊圖,其中第一覆蓋層含有沈積的氧化物;圖3A-3C是闡明在根據圖1方法製造IC時的IC部分截面的 方塊圖,其中第一覆蓋層含有沈積的氮化物;圖4A-4F是闡明製造含有MOSFET與帶有電荷捕獲閘級堆疊之NVM元件的IC的另一具體實例之方法流程圖,其中第一覆蓋層含有生長出的氧化物;圖5是闡明製造含有MOSFET與帶有電荷捕獲閘級堆疊之NVM元件的IC的另一具體實例之方法流程圖,其係使用具有分離沈積腔室的組合設備工具用以生長出氧化物第一覆蓋層以及沈積氮化物或氮氧化物;以及圖6A和6B是闡明根據本揭露的其中一具體實例所製造之含有MOSFET與非平面、多閘極之NVM元件的IC的方塊圖。
本揭露一般是指向將含有電荷捕獲閘級堆疊之記憶體元件整合至互補式金氧半導體製造流程的方法。
為了提供對本發明的徹底理解,在下面的敘述中,許多具體細節會被說明,像是具體的配置、組成和製程等。在其他例子中,沒有特別詳細地描述熟知的製程和製造技術以避免不必要的模糊本發明。進一步地,要了解到顯示在圖式中的各種具體實例僅是代表性說明且沒有必要按照比例繪製。
用於本文中的術語"在…上方","在…之上","在…之間"和"在…上面"是表示一層與其他層的相對位置。一層沈積或設置在另一層的上方或下方可以是直接與其他層接觸或可以是具有一或多個介於其中的層。一層沈積或設置在層之間可以是直接與該些層接觸或可以是具有一或多個 介於其中的層。相反的,第一層在第二層上面是指和第二層接觸。
一個整合包含金屬氧化物半導體場效電晶體(MOSFET)和含有電荷捕獲閘級堆疊的非揮發性記憶體元件之電路的方法具體實例將參考圖1和2A到2Q被詳細的說明。圖1是闡明一種製造包含金屬氧化物半導體場效電晶體(MOSFETs)與帶有電荷捕獲閘級堆疊的非揮發性記憶體(NVM)元件之積體電路(IC)的方法具體實例的流程圖。圖2A-2Q是闡明在根據圖1方法製造IC期間的IC截面方塊圖。
參考圖1和2A,製程從在基板206的第一區204形成供記憶體元件的通道202和在基板的第二區210形成供一或多個金屬氧化物半導體(Metal-Oxide-Semiconductor,MOS)元件的通道208開始(步驟102)。基板206可以是由適合用於半導體元件製造之單晶材料所組成的傳統矽晶圓(bulk wafer),或可包括形成在基板上之適合材料的頂部磊晶層。適合的材料包括但不限於,矽、鎵、矽-鎵或III-V化合物半導體材料。
一般而言,通道202、208是藉由在第一區204和第二區210透過襯墊氧化層211植入適當的離子種類。例如,可用從約5到約100千電子伏特(keV)的能量和從約1e14 cm-2到約1e16 cm-2的劑量植入BF2以形成N-型非揮發性記憶體元件。P-型元件可同樣藉由以適當的劑量和能量植入砷或磷離子來形成。要理解的是可使用植入在基板206的兩區域同時形成通道202、208,或使用標準光刻技術分開形成,該光刻技術包括圖樣化光阻層以遮罩其中一個區域。襯墊氧化層211是具有從約10奈米(nm)到約20nm厚度的二氧化矽(SiO2),且其可藉由熱氧化製程或臨場蒸氣產生技術(ISSG)生長。
在一些具體實例中,像是所顯示的,可在基板206上形成隔離結構212以將形成在第一區204的記憶體元件與形成在第二區210的MOS元件電性隔離。隔離結構212是在形成襯墊氧化層211和通道202、208之前形成,且可藉由任何傳統的技術形成,例如但不限於,淺溝渠隔離(STI)或矽局部氧化(LOCOS)。
接著,參考圖1和2B,一圖樣化遮罩層215形成在襯墊氧化層211上面或將其覆蓋,且襯墊氧化層被蝕刻或圖樣化以從基板206的第一區204移除氧化層(步驟104)。圖樣化遮罩層215可包括使用標準光刻技術的光阻層圖樣化,且可使用濕式或乾式蝕刻製程蝕刻或移除襯墊氧化層211以停止在基板206的表面上。在一例示具體實例中,襯墊氧化層211係使用含有表面活性劑之10:1的緩衝氧化蝕刻劑(BOE)在濕式清洗製程中移除。或者,濕式清洗製程可使用20:1的BOE濕式蝕刻、50:1的氫氟酸(HF)濕式蝕刻、襯墊蝕刻、或任何其他類似於以氫氟酸為基礎的濕式蝕刻化學品。
參考圖1和2C,形成介電堆疊214,其係從至少在基板206的第一區204的記憶體元件的通道202之上形成一穿隧介電質216開始(步驟106)。穿隧介電質216可為適合允許電荷載體在施以閘極偏壓情況下穿隧至電荷捕獲層的任何材料且具有任何厚度,同時維持當記憶體元件未被偏壓時的適當漏電屏障。在某些具體實例中,穿隧介電質216是二氧化矽、氮氧化矽或其之組合,且可藉由熱氧化製程、臨場蒸氣產生技術(ISSG)或自由基氧化生長。
例如,在一具體實例中,二氧化矽穿隧介電質216可在自由 基氧化製程中生長,其涉及將氫氣(H2)和氧氣(O2)以兩者約1:1的比例流至製程腔室而不需要點火的事件,像是形成電漿,其在其他方面係典型用於將H2和O2裂解形成蒸氣。取而代之的,H2和O2被允許於範圍在約-900-1000°C的溫度和範圍在約0.5-5托耳(Torr)的壓力下反應以在基板表面形成自由基,像是OH自由基、HO2自由基或O雙自由基。自由基氧化製程是在範圍在約1-10分鐘的持續時間下進行以產生具有厚度從約1.5奈米(nm)到約3.0nm的穿隧介電質216,其係藉由氧化和消耗基板的曝露表面達到。要了解的是在此圖和之後的圖中穿隧介電質216的厚度相對於襯墊氧化層211被誇張,其是約7倍厚以達到清楚的目的。在自由基氧化製程中生長的穿隧介電質216比藉由濕式氧化技術形成的穿隧介電質(即便是在減少的厚度下)稠密且由較少的氫原子/cm3組成,在某些具體實例中,自由基氧化製程是在批量處理腔室或能夠處理多個基板的熔爐中進行以提供高品質的穿隧介電質216而不影響製造設備可能的生產量(晶圓/小時)要求。
在另一具體實例中,穿隧介電質216是藉由化學氣相沈積法(CVD)或原子層沈積法沈積,且由一介電層組成,其可包括但不限於二氧化矽、氮氧化矽、氮化矽、氧化鋁、氧化鉿、氧化鋯、矽酸鉿、矽酸鋯、氮氧化鉿、鉿鋯氧化物和氧化鑭。在另一具體實例中,穿隧介電質216為一具有例如但不限於二氧化矽或氮氧化矽之底層材料與可包括但不限於氮化矽、氧化鋁、氧化鉿、氧化鋯、矽酸鉿、矽酸鋯、氮氧化鉿、鉿鋯氧化物和氧化鑭之頂層材料的雙層介電區。
參考圖1和2D,一電荷捕獲層218形成在穿隧介電質216上面或將其覆蓋(步驟108)。一般而言,如具體實例中所示,電荷捕獲層218 是一多層電荷捕獲層,其包括至少較接近穿隧介電質216之一較低或第一電荷捕獲層218a以及相對於第一電荷捕獲層為缺乏氧且含有多層電荷捕獲層中主要電荷捕獲分布之一第二電荷捕獲層218b。
電荷捕獲層218的第一電荷捕獲層218a可包括氮化矽(Si3N4)、富含矽的氮化矽或氮氧化矽(SiOxNy(Hz))。例如,第一電荷捕獲層218a可包括具有厚度介於2.0nm到4.0nm的氮氧化矽層,其係藉由CVD製程使用二氯矽烷(DCS)/氨(NH3)和一氧化二氮(N2O)/NH3氣體混合物以設計用來提供富含矽和富含氧之氮氧化物層的比例與流速獲得。
然後多層電荷捕獲層218的第二電荷捕獲層218b形成於第一電荷捕獲層218a之上。第二電荷捕獲層218b可包括氮化矽及具有氧、氮和/或矽之化學計量組成與第一電荷捕獲層218a不同的氮氧化矽層。第二電荷捕獲層218b可包括具有介於2.0nm和5.0nm的厚度,且可藉由CVD製程使用含有DCS/NH3和N2O/NH3氣體混合物的製程氣體以設計用來提供富含矽和缺乏氧之頂部氮化物層的比例與流速來形成或沈積。
如本文中所使用,術語"富含氧"和"富含矽"係相對於化學計量的氮化矽而言,或"氮化物"在本領域中一般是指具有(Si3N4)組成且具有約2.0的折射率者。因此,"富含氧"的氮氧化矽需要從化學計量的氮化矽往較高wt.%的矽和氧移動(即,減少氮)。因此,富含氧的氮氧化矽膜更像二氧化矽且RI減少到純二氧化矽的1.45 RI。類似地,描述於本文中的"富含矽"膜需要從化學計量的氮化矽往較高wt.%的矽移動且帶有比"富含氧"膜少的氧。因此,富含矽的氮氧化矽膜更像矽且RI增加到純矽的3.5 RI。
在一些具體實例中,多層電荷捕獲層218是一分離的電荷捕 獲層,其進一步含有一薄的中間氧化層220將第一電荷捕獲層218a和第二電荷捕獲層218b分開。中間氧化層220係實質地減少了在設計穿隧到第一電荷捕獲層218a期間之電荷聚集在第二電荷捕獲層218b邊界的機率,導致比傳統記憶體元件低的漏電流。
在一具體實例中,中間氧化層220係藉由氧化至選擇的深度而形成,其係使用熱或自由基氧化。自由基氧化可例如在1000-1100℃的溫度使用單晶圓工具進行,或在800-900℃使用批式反應器工具進行。H2和O2氣體混合物可以大約1:1的比例,以及在批式製程中300-500微托耳(micro Torr,mTorr)或使用單氣相工具10-15mTorr的壓力,使用單晶圓工具以1-2分鐘的時間或使用批式製程以30分鐘到1小時的時間,被導入至製程腔室中。在一些具體實例中,自由基氧化製程不具有點火事件,像是形成電漿,其在其他方面係典型用於將H2和O2裂解形成蒸氣。取而代之的,H2和O2被允許在第一電荷捕獲層218a的表面反應形成自由基,像是OH自由基、HO2自由基或O雙自由基,以形成中間氧化層220。
參考圖1和2E,一覆蓋層222形成在介電堆疊214或電荷捕獲層218上面或將其覆蓋(步驟110)。在一些具體實例中,像是所顯示的,覆蓋層222係一多層覆蓋層,其含有至少一較低或第一覆蓋層222a覆蓋電荷捕獲層218和覆蓋第一覆蓋層222a的第二覆蓋層222b。
在一具體實例中,第一覆蓋層222a可包括高溫氧化物(HTO),像是氧化矽(SiO2),其具有界於2.0nm和4.0nm的厚度,並以低壓化學氣相沈積(LPCVD)熱氧化製程沈積。例如,氧化製程可包括將基板206在沈積腔室中曝露至矽源,像是矽烷、氯矽烷或二氯矽烷,以及含氧氣體, 像是O2或N2O,在從約50mTorr到約1000mTorr的壓力,經過從約10分鐘到約120分鐘的期間且同時維持基板在從約900℃到約1000℃的溫度。在一些具體實例中,氧化製程是在與用於形成第二電荷捕獲層218b的相同製程腔室中原地進行,且馬上接在第二電荷捕獲層形成之後。
第二覆蓋層222b可包括氮化矽、富含矽的氮化矽或富含矽的氮氧化矽層,其具有界於2.0nm到4.0nm的厚度且係藉由CVD製程使用N2O/NH3和DCS/NH3氣體混合物形成。
參考圖1和2F,犧牲氧化層224係形成在覆蓋層222上面或將其覆蓋(步驟112)。在一具體實例中,犧牲氧化層224可包括藉由熱氧化製程或自由基氧化生長的高溫氧化物(HTO)層,且其具有介於2.0nm和4.0nm的厚度。在另一具體實例中,犧牲氧化層224可藉由化學氣相沈積製程在低壓化學氣相沈積(LPCVD)腔室中形成或沈積。例如,犧牲氧化層224可藉由CVD製程使用含有矽烷或DCS與含氧氣體之氣體混合物的製程氣體沈積,該含氧氣體例如為O2或N2O,其以設計用來提供二氧化矽(SiO2)犧牲氧化層的比例與流速進行。
接著,參考圖1和2G,圖樣化遮罩層226係形成在犧牲氧化層224上面或將其覆蓋,且參考圖2H,被蝕刻或圖樣化以形成閘極堆疊228之犧牲氧化層、覆蓋層222和介電堆疊214覆蓋記憶體元件的通道202,以及從基板206的第二區210移除犧牲氧化層、覆蓋層和介電堆疊(步驟114)。圖樣化遮罩層226可包括使用標準光刻技術的光阻層圖樣化,且可使用含有一或多個分開步驟的乾式蝕刻製程蝕刻或移除犧牲氧化層224、覆蓋層222和介電堆疊214以使其停止在穿隧介電質216的表面和襯墊氧化層 211。
參考圖1和2I,犧牲氧化層224以及部分或實質上全部的最頂部或在多層中的第二覆蓋層222b、覆蓋層222係從閘極堆疊228以一高度選擇清洗製程中被移除(步驟116)。此清洗製程進一步移除任何氧化物,像是留在閘極堆疊228外之第一區204中和在第二區210中的氧化物穿隧介電質216和襯墊氧化層211以準備基板206在該區中的閘極氧化層生長。在一例示性的實施中,犧牲氧化層224和第二覆蓋層222b係以濕式清洗製程使用10:1之含有界面活性劑的緩衝氧化蝕刻劑(BOE)移除。或者,濕式清洗製程可使用20:1的BOE濕式蝕刻、50:1的氫氟酸(HF)濕式蝕刻、襯墊蝕刻或任何其他類似於以氫氟酸為基礎的濕式蝕刻化學品來進行。
接者,參考圖1和2J,進行氧化製程以將留下的覆蓋層222或多層中的第一覆蓋層222a、覆蓋層和視情況地部分第二電荷捕獲層218b氧化以形成阻擋氧化層230覆蓋在第二電荷捕獲層上。在一具體實例中,氧化製程被調適以氧化第一覆蓋層222a形成阻擋氧化層230,同時氧化至少部分在第二區210的基板206表面以形成第一閘極氧化層232覆蓋在至少一MOS元件的至少通道208上(步驟118)。氧化製程可包括原地蒸氣生成(ISSG)、CVD或自由基氧化,其係在批式或單一基板製程腔室中使用或不使用點火事件像是電漿來進行。例如,在一具體實例中,阻擋氧化層230和閘極氧化層232可在自由基氧化製程中生長,其係涉及將氫氣(H2)和氧氣(O2)以彼此大約1:1的比例不使用點火事件像是形成電漿而流至製程腔室,該點火事件典型是用於將H2和O2裂解形成蒸氣。取而代之的,H2和O2被允許在覆蓋層222的表面或第一覆蓋層222a的表面在大約700-800℃的溫 度在大約0.5-5Torr範圍的壓力下反應形成自由基,像是OH自由基、HO2自由基或O雙自由基。自由基氧化製程是在大約10-15分鐘的持續時間下進行以藉由氧化和消耗第一覆蓋層222a和具有從約3nm到約4.5nm厚度的部分第二電荷捕獲層218b以及具有從約5nm到約7nm厚度的閘極氧化層232而達到阻擋氧化層230生長的目的。
在一些具體實例中,像是圖2k到2Q所顯示,該方法進一步包括雙閘極氧化層製造流程以能夠製造MOS元件234和高壓(High Voltage,HV)MOS元件236。參考圖1和2K,圖樣化遮罩層238係形成在基板206的第一和第二區域204,210之上(步驟120)。圖樣化遮罩層238可為使用標準光刻技術的光阻層圖樣化,且包括至少一開口240在第二區210的通道208之上。厚的第一閘極氧化層232在曝露區域藉由使用BOE蝕刻進行蝕刻,其係在類似於該些敘述於上關於移除犧牲氧化層224的條件下進行,且然後圖樣化遮罩層238被移除。
參考圖1和2L,基板206使用那些不會蝕刻氧化層的濕式蝕刻清洗,以保護HV MOS元件236的第一閘極氧化層232以及閘極堆疊228的阻擋氧化層230和第一閘極氧化層232(步驟122)。然後將基板206進行熱氧化製程以生產具有厚度從約1.5nm到約3nm之薄的第二閘極氧化層242。
在一些具體實例中,可使用薄的高介電常數或高-k介電材料代替二氧化矽。高-k介電材料可包括但不限於,氧化鉿、氧化鋯、矽酸鉿、氮氧化鉿、鉿鋯氧化物和氧化鑭,其係藉由例如下述製程沈積:原子層沈積(ALD)、物理氣相沈積(PVD)、化學氣相沈積(CVD)、低壓CVD(LPCVD) 或電漿增強CVD(PECVD)製程。
參考圖1和2M,任何適用於調節記憶體元件偏壓和MOS元件操作之導電或半導體材料的閘極層244係形成於閘極堆疊228、HV MOS元件236的第一閘極氧化層232以及MOS元件234的第二閘極氧化層242之上(步驟124)。在一具體實例中,閘極層係藉由物理氣相沈積形成且由含金屬材料組成,其可包括但不限於,金屬氮化物、金屬碳化物、金屬矽化物、鉿、鋯、鈦、鉭、鋁、釕、鈀、鉑、鈷和鎳。在另一具體實例中,閘極層係藉由CVD製程形成且由經摻雜的多晶矽組成。
參考圖1和2N,使用遮罩層(未顯示)和標準光刻技術將閘極層244圖樣化以停止在阻擋氧化層230、第一閘極氧化層232和第二閘極氧化層242的表面,從而形成記憶體元件248之閘極堆疊228的閘極246、HV MOS元件236的閘極250以及MOS元件234的閘極252(步驟126)。
參考圖1和2O-2P,側壁隔離層254與所有元件的閘極246、250、252相鄰形成,且留下的阻擋氧化層230、第一閘極氧化層232和第二閘極氧化層242的曝露部分係被異向性地蝕刻以得到如圖2P顯示的結構,其實質帶有完整的記憶體元件248、HV MOS元件236以及MOS元件234(步驟128)。
參考圖1和2Q,記憶體元件248、HV MOS元件236和MOS元件234的閘極堆疊實質完成後,可執行尖端和/或環形植入以形成延伸區域256,執行源極和汲極植入以形成所有元件的源極和汲極區域258(步驟130)。
在其他具體實例中,覆蓋層可包括單層或多層的氮化矽或氮 氧化矽。覆蓋層322可為具有同質組成物的單層氮化矽或氮氧化矽、具有梯度化學劑量組成物的單層氮化矽或氮氧化矽,或如具體實例中所示,可為多層,覆蓋層含有至少一具有氮化物之較低或第一覆蓋層322a覆蓋電荷捕獲層318以及具有氮化物的第二覆蓋層322b覆蓋第一覆蓋層322a。
參考圖3A,一種使用多層,覆蓋層322製造含有MOSFET和非-NVM元件之積體電路(IC)的方法,其從在基板306表面之上形成穿隧介電質316和電荷捕獲層318開始。穿隧介電質316、電荷捕獲層318和基板306具有如上述圖1和2A到2D所描述的組成和/或可如上述圖1和2A到2D所描述般形成。一般而言,如具體實例中所顯示,電荷捕獲層318是一多層電荷捕獲層,其含有至少較靠近穿隧介電質316之一較低或第一電荷捕獲層318a以及相對第一電荷捕獲層缺乏氧且含有在多層電荷捕獲層中主要的電荷捕獲分布之一第二電荷捕獲層318b。視情況地,電荷捕獲層318可為分離的電荷捕獲層,進一步含有一薄的中間氧化層(此圖中未顯示)將第一電荷捕獲層318a和第二電荷捕獲層318b分開,如上面關於圖2D的描述。
第一覆蓋層322a可包括氮化矽、富含矽的氮化矽或富含矽的氮氧化物矽層,其具有介於2.0nm和4.0nm之間的厚度,且係藉由使用N2O/NH3和DCS/NH3氣體混合物的CVD製程形成。類似地,第二覆蓋層322b也可包括氮化矽、富含矽的氮化矽或富含矽的氮氧化矽層,其具有介於1.5nm和4.0nm之間的厚度,且係藉由使用N2O/NH3和DCS/NH3氣體混合物的CVD製程形成。視情況地,第一覆蓋層322a和第二覆蓋層322b可包括不同的化學計量。例如,第一覆蓋層322a可具有矽或富含氧的組成物,例如,第一覆蓋層可包括富含氧-氮化物或具有實質上和第一電荷捕獲層318a相同 化學計量組成的氮氧化物以促進隨後的第一覆蓋層氧化。
類似地,第二覆蓋層322b也可包括氮化矽、富含矽的氮化物或富含矽的氮氧化物層,其具有介於1.5nm和4.0nm之間的厚度,且係藉由使用N2O/NH3和DCS/NH3氣體混合物的CVD製程形成。視情況地,第二覆蓋層322b可具有實質上和第二電荷捕獲層318b相同的化學計量組成,即相對於第一覆蓋層322a為缺乏氧的。
接著,犧牲氧化層324形成在介電覆蓋層322上面或將其覆蓋。犧牲氧化層324可具有實質上和犧牲氧化層224組成完全相同的組成,且可如上面關於該層描述般形成。
接著,犧牲氧化層324、電荷捕獲層318和覆蓋層322被根據圖2G到2H所描述般圖樣化以在基板306的第一或NVM區形成閘極堆疊328,並從第二或MOS區移除該些層(未顯示於此圖中)。
參考圖3B,犧牲氧化層324和部分或實質上全部頂部或第二覆蓋層322b以高度選擇性清洗製程被從閘極堆疊328移除。此清洗製程可為實質上與上面關於圖2I描述的閘極氧化物(Gate Oxide,GOX)預清洗是相同的。
參考圖3C,執行氧化製程以氧化覆蓋層322或多層覆蓋層的第一覆蓋層322a,以及部分第二電荷捕獲層318b的留下部分以形成覆蓋在第二電荷捕獲層上的阻擋氧化層330。氧化製程可為實質上與上面關於圖2J的描述是相同的。在一些具體實例中,該氧化製程係用於同時地形成至少一基板第二區之MOS元件的閘極氧化層或電晶體(未顯示於此圖中)。
此製造流程接著以實質上如上面關於圖2H到2Q的描述般 繼續以完成NVM和MOS元件或電晶體的製造。
在又一具體實例中,關於圖4A-4F的描述,多層中的第一覆蓋層、覆蓋層含有使用濕式熱氧化製程或臨場蒸氣產生技術(ISSG)製程生長而非沈積的氧化矽。
參考圖4A,該方法從在基板406表面上方形成穿隧介電質416和含有第一電荷捕獲層418a與第二電荷捕獲層418b的電荷捕獲層418開始。穿隧介電質416、電荷捕獲層418和基板406具有上面關於圖1與圖2A到2D描述的組成和/或可用上面關於圖1與圖2A到2D描述般形成。接著,第一犧牲氧化層423可形成在第二電荷捕獲層418b上面或將其覆蓋。犧牲氧化層423可具有實質上和犧牲氧化層224與324組成相同之組成,且可如上面關於該些層描述般形成。
接著,參考圖4B,第一犧牲氧化層423以一實質上與描述於上關於圖21和3B的GOX預清洗相同的清洗製程移除。如同這些清洗製程,移除第一犧牲氧化層423的清洗製程也可移除部份下面的第二電荷捕獲層418b。
接著,參考圖4C,第一覆蓋層422a係使用濕式熱氧化製程ISSG製程形成在第二電荷捕獲層418b上面或將其覆蓋。一般而言,ISSG製程係在ISSG腔室中使用在至少約1000℃的水執行或進行。
接著,參考圖4D,基板回到使用的工具上以形成初始介電堆疊的穿隧介電質416和電荷捕獲層418,且第二覆蓋層422b形成在第一覆蓋層422a上面或將其覆蓋。一般而言,第二覆蓋層422b可具有與描述於上之第二覆蓋層222b和322b組成相同的組成,且可包括氮化矽、富含矽的 氮化矽或富含矽的氮氧化矽層,其具有介於2.0nm和4.0nm之間的厚度,是藉由使用N2O/NH3和DCS/NH3氣體混合物的CVD製程形成。第二犧牲氧化層424形成在第二覆蓋層222b上面或將其覆蓋。一般而言,犧牲氧化層424具有介於2.0nm和4.0nm之間的厚度以及與犧牲氧化層224、324和423組成實質相同的組成,可如上面關於該些層描述般形成。犧牲氧化層424、覆蓋層422和穿隧介電質416以及電荷捕獲層418係被蝕刻或圖樣化以形成覆蓋在記憶體元件之通道402的閘極堆疊428和移除犧牲氧化層、覆蓋層與來自基板第二區的介電堆疊(未顯示於此圖中)。
參考圖4E,犧牲氧化層424和部分或實質上全部頂部或第二覆蓋層422b以高度選擇性清洗製程從閘極堆疊428被移除。此清洗製程可為實質上與上面關於圖2I描述的GOX預清洗是相同的。
最後,參考圖4F,執行氧化製程以氧化留下的部分覆蓋層422或多層的第一覆蓋層422a、覆蓋層以及部分的第二電荷捕獲層418b以形成阻擋氧化層430覆蓋於第二電荷捕獲層。氧化製程可為實質上與上面關於圖2J的描述是相同的。在一些具體實例中,該氧化製程係用於同時地形成至少一基板第二區之MOS元件的閘極氧化層或電晶體(未顯示於此圖中)。
此製造流程接著以實質上如上面關於圖2H到2Q的描述般繼續以完成NVM和MOS元件或電晶體的製造。
在另一可替代的具體實例中,含有MOSFET和帶有電荷捕獲閘級堆疊之NVM元件的IC係使用帶有分開沈積腔室的組合設備工具來生長氧化第一覆蓋層和沈積氮化物或氮氧化物而製造。適合的單晶圓組合 設備工具包括,例如,商業上可從位於加州聖塔克拉拉(Santa Clara,Calif.)之應用材料公司(Applied Materials,Inc)獲得的CenturaTM平台。
參考圖5的流程圖,本方法從藉由ISSG在組合設備工具的第一腔室(腔室1)生長穿隧氧化層(TUNOX)開始(步驟502)。接著,基板被轉移至組合設備工具的第二腔室(腔室2)並沈積多層電荷捕獲層的底部(B_SiN)和頂部(T_SiN)氮化矽層(步驟504)。用於沈積底部和頂部層的組成和製程係與該些描述於上關於圖1和圖2A到2D者實質相同。接著,基板回到組合設備工具的第一腔室(腔室1),且將會作為多層之第一層、覆蓋層之一薄的氧化層係生長在頂部(T_SiN)層(步驟506)。用於生長薄的氧化層之組成和製程係與該些描述於上關於第一覆蓋層422a的濕式熱氧化製程或ISSG製程實質相同。基板回到組合設備工具的第二腔室(腔室2)且將會作為多層之第二層的第二氮化矽層(第二T_SiN)係生長在薄的氧化第一覆蓋層的頂部(步驟508)。在使用組合設備工具執行的最後步驟中,基板被轉移至組合設備工具的第三腔室(腔室3)且犧牲氧化層沈積在第二氮化矽層上方(步驟510)。沈積犧牲氧化層的組成和製程係與該些描述於上關於犧牲氧化層的乾式熱氧化製程實質相同。然後從組合設備工具移除基板,執行清潔以移除犧牲氧化層和全部或實質上全部的第二氮化矽層,且執行氧化製程以氧化該薄的氧化層或第一覆蓋層和部分在下方的頂部電荷捕獲層以形成在電荷捕獲層上的阻擋氧化層(步驟512)。
此製造流程接著以實質上如上面關於圖2H到2Q的描述般繼續以完成NVM和MOS元件或電晶體的製造。
另一方面,本揭露係指向含有電荷捕獲區域的多閘極或多閘 極-表面記憶體元件,該電荷捕獲區域係覆蓋在基板表面上面或之上形成的通道的二或多側,以及指向製造其之方法。一般非平面多閘極元件包括水平或垂直通道形成於基板表面上面或上方且被其三或多側被閘極包圍。
圖6A闡述含有在基板606的第一區604形成之非平面多閘極記憶體元件602且在第二區610與其相鄰整合形成之MOS元件608的積體電路600的一具體實例。
參考圖6A,記憶體元件602,一般是指finFET,包括從覆蓋在基板606之表面616的半導體材料的薄膜或層形成的通道614,其連接記憶體元件的源極618和汲極620。通道614被鳍状物三側圍繞,其形成元件的閘極堆疊622。閘極堆疊622(以從源極到汲極的方向測量)的厚度決定了產生作用的元件通道長度。
根據本發明的揭露,圖6A的非平面多閘極記憶體元件602可包括多層電荷捕獲層和阻擋氧化層,其係藉由氧化和消耗覆蓋層以及部分的電荷捕獲層形成。圖6B是圖6A之含有部分基板606、通道614和閘極堆疊622的非平面記憶體元件的部分截面圖。閘極堆疊622包括覆蓋升起之通道614的穿隧介電質624、電荷捕獲層626、阻擋氧化層628和覆蓋阻擋層的閘極層630以形成記憶體元件602的控制閘極。如上面所描述,閘極層630可包括經摻雜的多晶矽或金屬層。通道614和閘極堆疊622可直接形成在基板606上或形成在一絕緣或介電層632上,例如埋氧層,其係形成於基板的上面或之上。
雖然沒有顯示在這些圖式中,將會了解的是電荷捕獲層626可為含有至少一較低或第一電荷捕獲層的多層電荷捕獲層以及一較高或第 二電荷捕獲層覆蓋該第一電荷捕獲層,該較低或第一電荷捕獲層含有較接近穿隧介電質624的氮化物。一般而言,第二電荷捕獲層含有富含矽、缺乏氧之氮化物層且含有分布在多層電荷捕獲層中主要的電荷捕獲,而第一電荷捕獲層含有富含氧的氮化物或氮氧化矽,且是相對頂部電荷捕獲層而言為富含氧的,以此減少其中的電荷捕獲數量。富含氧是指其中在第一電荷捕獲層中的氧氣濃度從約15到約40%,而在第二電荷捕獲層的氧氣濃度少於約5%。在一些具體實例中,多層電荷捕獲層進一步包括至少一薄的,居間或中間氧化層使第二電荷捕獲層與第一電荷捕獲層分開。
最後,阻擋氧化層628可包括藉由氧化和消耗覆蓋層與部分電荷捕獲層626形成的氧化層,如上面關於圖2A-2Q的描述。
在顯示於圖6A的具體實例中,MOS元件608也是finFET,且包括從覆蓋在基板606之表面616的半導體材料的薄膜或層形成的通道634,其連接MOS元件的源極636和汲極638。通道634也被鳍状物或閘極三側圍繞,其形成MOS元件608的閘極640。參考圖6B,MOS元件608的閘極640包括閘極氧化層642覆蓋升起的通道634和金屬或經摻雜的多晶矽閘極層644覆蓋該閘極氧化層。
因此,已描述了包含MOSFET與含有電荷捕獲閘級堆疊之非揮發性記憶體元件的積體電路的具體實例和形成其之方法。雖然本揭露已經參考具體的例示具體實例描述,但是明顯的是,在不偏離揭露的廣泛精神和範疇下,可對這些具體實例作各種修改和改變。因此,說明書和圖式係作為說明性的而非限制的意思。
提供本揭露的摘要以符合37 C.F.R.§1.72(b),其要求摘要允 許讀者快速得知技術揭露的一或多個具體實例之本質。其提交應理解並非用於解釋或限制本申請專利範圍的範疇或意圖。此外,前面的詳細敘述可被看作是各種特徵群組在一起於一單一具體實例中,其係為了簡化揭露的目的。此揭露方式並不解釋為反映所聲稱的具體實例需要比清楚列舉在每個申請專利範圍更多的特徵之意圖。而是如同下面的申請專利範圍所反映,發明的標的在於少於單一揭露的具體實例之所有特徵。因此,下面的申請專利範圍從而併入詳細說明中,且每個申請專利範圍以其本身作為一分開的具體實例。
在敘述中提到的一個具體實例或一具體實例係指與具體實例中描述相關之特別的特徵、結構或特點係包括至少一電路或方法的具體實例。一個具體實例之詞句的表意在說明書中的各個地方並不必然指向相同的具體實例。

Claims (19)

  1. 一種製造半導體裝置的方法,其包含:在基板上形成一介電堆疊,該介電堆疊含有一穿隧介電質在該基板之上以及一電荷捕獲層在該穿隧介電質之上;在介電堆疊之上形成含有高溫矽氧化物的一第一覆蓋層;在第一覆蓋層之上形成含有氮化物的一第二覆蓋層;圖樣化該第一和第二覆蓋層與該介電堆疊以在基板的第一區中形成一記憶體元件的閘極堆疊;移除該第二覆蓋層;以及執行氧化製程以在該電荷捕獲層之上形成一阻擋氧化層,其中該氧化製程消耗該第一覆蓋層。
  2. 如申請專利範圍第1項的方法,其中形成所述第一覆蓋層包括使用低壓氧化製程在用於形成介電堆疊的腔室中原地執行高溫氧化物(HTO)的沈積。
  3. 如申請專利範圍第1項的方法,其中該氧化製程消耗部分的電荷捕獲層。
  4. 如申請專利範圍第1項的方法,其中,該氧化製程不實質地消耗任何的電荷捕獲層。
  5. 如申請專利範圍第1項的方法,其進一步包含在第二覆蓋層之上形成一犧牲氧化層,且其中圖樣化以形成閘極堆疊包含圖樣化犧牲氧化層、第一和第二覆蓋層以及介電堆疊以形成在基板第一區中之該記憶體元件的該閘極堆疊,且同時地從基板的第二區移除犧牲氧化層、第一和第二覆蓋 層以及介電堆疊。
  6. 如申請專利範圍第5項的方法,其中移除第二覆蓋層包含從該閘極堆疊移除犧牲氧化層和第二覆蓋層,且同時地從該基板的該第二區移除任何殘留的氧化物。
  7. 如申請專利範圍第6項的方法,其中執行該氧化製程以形成該阻擋氧化層包含同時地在基板第二區中形成邏輯元件的閘極氧化層。
  8. 一種製造半導體裝置的方法,其包含:在一基板上形成一介電堆疊,該介電堆疊含有一穿隧介電質在該基板之上以及一電荷捕獲層在該穿隧介電質之上;在介電堆疊之上形成一富含氧-氮化物的第一覆蓋層;在第一覆蓋層之上形成一含有氮化物的第二覆蓋層;圖樣化該第一和第二覆蓋層以及該介電堆疊以在基板的第一區中形成一記憶體元件的閘極堆疊;移除該第二覆蓋層;以及執行一氧化製程以在該電荷捕獲層之上形成一阻擋氧化層,其中該氧化製程消耗該第一覆蓋層,其中所述電荷捕獲層包含多層電荷捕獲層,所述多層電荷捕獲層包含至少第一氮化物層接近所述穿隧介電質,並且其中所述第一覆蓋層和所述多層電荷捕獲層的所述第一氮化物層含有實質上相同的化學計量組成。
  9. 如申請專利範圍第8項的方法,其中該多層電荷捕獲層進一步包含在該第一氮化物層之上的一第二氮化物層,該第二氮化物層相對於第一氮化物層為缺乏氧且含有多層電荷捕獲層中主要電荷捕獲分布。
  10. 如申請專利範圍第9項的方法,其中該第二覆蓋層和多層電荷捕獲層中的第二氮化物層含有實質上相同的化學計量組成。
  11. 如申請專利範圍第8項的方法,其進一步包含在第二覆蓋層之上形成一犧牲氧化層,且其中圖樣化以形成該閘極堆疊包含有圖樣化該犧牲氧化層、該第一和第二覆蓋層以及該介電堆疊以形成在基板第一區中之記憶體元件的該閘極堆疊,以及從基板的一第二區移除該犧牲氧化層、該第一和第二覆蓋層和該介電堆疊。
  12. 如申請專利範圍第11項的方法,其中移除該第二覆蓋層包括從閘極堆疊移除該犧牲氧化層和該第二覆蓋層,且同時地從基板的該第二區移除氧化層。
  13. 如申請專利範圍第12項的方法,其中執行該氧化製程以形成該阻擋氧化層包含同時地在基板的該第二區中形成邏輯元件的閘極氧化層。
  14. 一種製造半導體裝置的方法,其包含:在一基板上形成一介電堆疊,該介電堆疊含有一穿隧介電質在該基板之上以及一電荷捕獲層在該穿隧介電質之上;在一組合設備工具的第一腔室中於該介電堆疊之上生長含有一薄氧化層的一第一覆蓋層;在該組合設備工具的第二腔室中於該第一覆蓋層之上形成含有氮化物的一第二覆蓋層;在該組合設備工具的第三腔室中於該第二覆蓋層之上使用自由基氧化製程形成含有高溫氧化物(HTO)的一犧牲氧化層;圖樣化該犧牲氧化層、該第一和第二覆蓋層以及該介電堆疊以在基板 的一第一區中形成一記憶體元件的一閘極堆疊;移除該犧牲氧化層和該第二覆蓋層;以及執行一氧化製程以在該電荷捕獲層之上形成一阻擋氧化層,其中該氧化製程消耗該第一覆蓋層。
  15. 如申請專利範圍第14項的方法,其中該電荷捕獲層包括一多層電荷捕獲層,其含有至少一第一氮化物層,該第一氮化物層較接近穿隧介電質;以及在該第一氮化物層之上的一第二氮化物層,該第二氮化物層相對於第一氮化物層為缺乏氧且含有多層電荷捕獲層中主要電荷捕獲分布。
  16. 如申請專利範圍第15項的方法,其中該第二覆蓋層和多層電荷捕獲層中的第二氮化物層含有實質上相同的化學計量組成。
  17. 如申請專利範圍第14項的方法,其中圖樣化以形成閘極堆疊進一步包括從基板的一第二區移除該犧牲氧化層、該第一和第二覆蓋層以及該介電堆疊。
  18. 如申請專利範圍第17項的方法,其中移除犧牲氧化層和第二覆蓋層包含同時地從基板的該第二區移除一氧化層。
  19. 如申請專利範圍第18項的方法,其中執行該氧化製程以形成該阻擋氧化層包含同時地在基板的該第二區中形成一邏輯元件的一閘極氧化層。
TW104100137A 2014-02-06 2015-01-06 使用互補式金氧半導體製造流程以製造電荷捕獲閘極堆疊的方法 TWI640082B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461936549P 2014-02-06 2014-02-06
US61/936,549 2014-02-06
US14/490,514 US8993457B1 (en) 2014-02-06 2014-09-18 Method of fabricating a charge-trapping gate stack using a CMOS process flow
US14/490,514 2014-09-18

Publications (2)

Publication Number Publication Date
TW201533890A TW201533890A (zh) 2015-09-01
TWI640082B true TWI640082B (zh) 2018-11-01

Family

ID=52707807

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104100137A TWI640082B (zh) 2014-02-06 2015-01-06 使用互補式金氧半導體製造流程以製造電荷捕獲閘極堆疊的方法

Country Status (5)

Country Link
US (3) US8993457B1 (zh)
KR (1) KR101947363B1 (zh)
DE (1) DE112015000701T5 (zh)
TW (1) TWI640082B (zh)
WO (1) WO2015119893A2 (zh)

Families Citing this family (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9287282B2 (en) 2014-01-28 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a logic compatible flash memory
US8993457B1 (en) 2014-02-06 2015-03-31 Cypress Semiconductor Corporation Method of fabricating a charge-trapping gate stack using a CMOS process flow
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9218978B1 (en) * 2015-03-09 2015-12-22 Cypress Semiconductor Corporation Method of ONO stack formation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US9824895B1 (en) * 2016-09-27 2017-11-21 Cypress Semiconductor Corporation Method of integration of ONO stack formation into thick gate oxide CMOS flow
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10026745B1 (en) * 2017-01-13 2018-07-17 United Microelectronics Corp. Semiconductor memory cell structure
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10504912B2 (en) * 2017-07-28 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Seal method to integrate non-volatile memory (NVM) into logic or bipolar CMOS DMOS (BCD) technology
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) * 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10720444B2 (en) 2018-08-20 2020-07-21 Sandisk Technologies Llc Three-dimensional flat memory device including a dual dipole blocking dielectric layer and methods of making the same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11967526B2 (en) * 2020-09-29 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and manufacturing method thereof
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101055877A (zh) * 2006-04-13 2007-10-17 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN101375373A (zh) * 2005-12-15 2009-02-25 Nxp股份有限公司 隧道绝缘层中具有间隙的非易失性存储器件及其制造方法
CN101620991B (zh) * 2008-07-02 2011-08-17 中芯国际集成电路制造(上海)有限公司 Tft快闪存储单元的原子层沉积外延硅生长
CN103258798A (zh) * 2012-02-15 2013-08-21 赛普拉斯半导体公司 将电荷捕获栅层叠集成到cmos流程的方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4570331A (en) 1984-01-26 1986-02-18 Inmos Corporation Thick oxide field-shield CMOS process
JPH04165670A (ja) * 1990-10-30 1992-06-11 Toshiba Corp 半導体記憶装置とその製造方法
KR19980078235A (ko) * 1997-04-25 1998-11-16 문정환 반도체 소자의 제조 방법
US5883010A (en) * 1997-08-07 1999-03-16 National Semiconductor Corporation Method for protecting nonsilicided surfaces from silicide formation using spacer oxide mask
US6063706A (en) * 1998-01-28 2000-05-16 Texas Instruments--Acer Incorporated Method to simulataneously fabricate the self-aligned silicided devices and ESD protective devices
US6727166B1 (en) * 1999-11-17 2004-04-27 Koninklijke Philips Electronics N.V. Removal of silicon oxynitride material using a wet chemical process after gate etch processing
US20030232507A1 (en) 2002-06-12 2003-12-18 Macronix International Co., Ltd. Method for fabricating a semiconductor device having an ONO film
US6677255B1 (en) 2002-08-29 2004-01-13 Macroniox International Co., Ltd. Method for removing fences without reduction of ONO film thickness
US6689653B1 (en) 2003-06-18 2004-02-10 Chartered Semiconductor Manufacturing Ltd. Method of preserving the top oxide of an ONO dielectric layer via use of a capping material
US7446002B2 (en) * 2003-06-26 2008-11-04 Mears Technologies, Inc. Method for making a semiconductor device comprising a superlattice dielectric interface layer
US20050282330A1 (en) * 2003-06-26 2005-12-22 Rj Mears, Llc Method for making a semiconductor device including a superlattice having at least one group of substantially undoped layers
US7183166B2 (en) 2003-11-25 2007-02-27 Macronix International Co., Ltd. Method for forming oxide on ONO structure
US20050215074A1 (en) 2004-03-26 2005-09-29 Fuja Shone ONO formation method
KR20070021271A (ko) * 2004-06-25 2007-02-22 프리스케일 세미컨덕터, 인크. 나노클러스터 전하 저장 장치를 형성하는 방법
US7247546B2 (en) * 2004-08-05 2007-07-24 International Business Machines Corporation Method of forming strained silicon materials with improved thermal conductivity
US6946349B1 (en) 2004-08-09 2005-09-20 Chartered Semiconductor Manufacturing Ltd. Method for integrating a SONOS gate oxide transistor into a logic/analog integrated circuit having several gate oxide thicknesses
US7387972B2 (en) 2006-03-01 2008-06-17 Promos Technologies Pte. Ltd. Reducing nitrogen concentration with in-situ steam generation
US9716153B2 (en) * 2007-05-25 2017-07-25 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a deuterated layer in a multi-layer charge-trapping region
US9449831B2 (en) * 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US7867844B2 (en) * 2008-05-28 2011-01-11 Micron Technology, Inc. Methods of forming NAND cell units
US8598656B2 (en) * 2010-03-08 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of forming ESD protection device
CN102280402A (zh) 2010-06-12 2011-12-14 上海华虹Nec电子有限公司 刻蚀和填充深沟槽的方法
US8263458B2 (en) * 2010-12-20 2012-09-11 Spansion Llc Process margin engineering in charge trapping field effect transistors
US8501609B2 (en) 2012-02-02 2013-08-06 Tower Semiconductor Ltd. Method for generating a three-dimensional NAND memory with mono-crystalline channels using sacrificial material
US9460957B2 (en) * 2013-03-12 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for nitrogen-doped shallow-trench isolation dielectric
US8993457B1 (en) 2014-02-06 2015-03-31 Cypress Semiconductor Corporation Method of fabricating a charge-trapping gate stack using a CMOS process flow
US20150255482A1 (en) * 2014-03-06 2015-09-10 Kabushiki Kaisha Toshiba Semiconductor storage device and manufacturing method thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101375373A (zh) * 2005-12-15 2009-02-25 Nxp股份有限公司 隧道绝缘层中具有间隙的非易失性存储器件及其制造方法
CN101055877A (zh) * 2006-04-13 2007-10-17 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN101620991B (zh) * 2008-07-02 2011-08-17 中芯国际集成电路制造(上海)有限公司 Tft快闪存储单元的原子层沉积外延硅生长
CN103258798A (zh) * 2012-02-15 2013-08-21 赛普拉斯半导体公司 将电荷捕获栅层叠集成到cmos流程的方法

Also Published As

Publication number Publication date
TW201533890A (zh) 2015-09-01
US8993457B1 (en) 2015-03-31
US9911613B2 (en) 2018-03-06
WO2015119893A2 (en) 2015-08-13
DE112015000701T5 (de) 2016-10-20
KR20160141705A (ko) 2016-12-09
WO2015119893A3 (en) 2015-11-12
KR101947363B1 (ko) 2019-02-12
US20160005610A1 (en) 2016-01-07
US20170084465A1 (en) 2017-03-23
US9496144B2 (en) 2016-11-15

Similar Documents

Publication Publication Date Title
TWI640082B (zh) 使用互補式金氧半導體製造流程以製造電荷捕獲閘極堆疊的方法
US10854625B2 (en) Method of integrating a charge-trapping gate stack into a CMOS flow
CN101606236B (zh) 非易失性电荷俘获存储器件和逻辑cmos器件的集成器件
US9893172B2 (en) Methods to integrate SONOS into CMOS flow
TWI697100B (zh) 將記憶電晶體納入高k金屬閘極互補式金屬氧化物半導體之製造流程的整合
TWI779080B (zh) 具有高介電常數金屬閘極的埋藏sonos及其之製造方法
US10002878B2 (en) Complementary SONOS integration into CMOS flow
KR20180015484A (ko) 집적회로 소자 및 그 제조 방법
KR102072181B1 (ko) 비-휘발성 전하 트랩 메모리 디바이스들 및 로직 cmos 디바이스들의 집적
US11855221B2 (en) Ferroelectric semiconductor device and method
CN106062957A (zh) 使用cmos工艺流程制造电荷捕获栅极堆叠的方法