TWI637443B - Contact layer formation method - Google Patents

Contact layer formation method Download PDF

Info

Publication number
TWI637443B
TWI637443B TW103144894A TW103144894A TWI637443B TW I637443 B TWI637443 B TW I637443B TW 103144894 A TW103144894 A TW 103144894A TW 103144894 A TW103144894 A TW 103144894A TW I637443 B TWI637443 B TW I637443B
Authority
TW
Taiwan
Prior art keywords
gas
film
contact layer
forming
substrate
Prior art date
Application number
TW103144894A
Other languages
English (en)
Other versions
TW201543573A (zh
Inventor
村上誠志
清水隆也
若林哲
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201543573A publication Critical patent/TW201543573A/zh
Application granted granted Critical
Publication of TWI637443B publication Critical patent/TWI637443B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/02Contacts, special

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明之課題在於提供一種接觸層之形成方法,於形成接觸層時不會受到底層基板之影響。
於具有接觸孔112之基板110上形成用以取得該基板與填埋金屬之接觸的接觸層114之際,在腔室內配置基板110,導入Ti原料氣體、還原氣體、Si原料氣體,使得此等氣體電漿化而於基板110上形成TiSix膜113,以該TiSix膜113之接觸孔112之底的部分作為接觸層114。

Description

接觸層之形成方法
本發明係關於一種接觸層之形成方法,係於基板上形成用以讓該基板與填埋金屬取得接觸之接觸層。
半導體元件之製造中,對應於最近高密度化以及高積體化之需求,傾向於將電路構成做成為多層配線構造,因此,作為下層Si基板與上層配線層之連接部的接觸孔之電性連接所需填埋技術變得重要。
為了在如此之接觸孔填埋所使用之金屬配線(插塞)與下層Si基板之間取得歐姆接觸,已有技術係在此等填埋之前先於接觸孔內側以化學蒸鍍法(CVD法)來形成Ti膜,之後,使得底層Si基板與Ti膜反應而於接觸孔底之Si擴散層上選擇性成長TiSix而形成接觸區域,來得到良好的歐姆電阻(例如專利文獻1)。
先前技術文獻
專利文獻1日本特開平5-67585號公報
另一方面,近來,有時邏輯元件等會使用含碳(C)之Si基板,惟一旦於含碳之Si基板採用上述專利文獻1所示技術,則會有底層Si與Ti之反應不易發生的問題、以及接觸區域被導入碳造成電阻增加之問題。此外,伴隨半導體元件之微細化,基板上的擴散層也日益變窄,利用和基板之反應的接觸層之形成不斷面臨極限。
本發明係鑑於上述問題點所得,其課題在於提供一種接觸層之形成方法,可在不受到底層基板影響的前提下形成接觸層。
亦即,本發明之第1態樣係提供一種接觸層之形成方法,係於具有接觸孔之基板上形成用以取得該基板與填埋金屬之接觸的接觸層;於腔室內配置基板,導入Ti原料氣體、還原氣體、Si原料氣體,使得此等氣體電漿化而於基板上形成TiSix膜,以該TiSix膜接觸於該接觸孔之底的部分作為接觸層。
上述第1態樣中,該Ti原料氣體使用TiCl4氣體、該還原氣體使用H2氣體為佳。此外,該TiSix膜之成膜之際的溫度以500℃以下為佳。再者,於形成該TiSix膜之際,對該腔室內導入作為電漿生成氣體之Ar氣體為佳。
本發明之第2態樣係提供一種接觸層之形成方法,係於具有接觸孔之基板上形成用以取得該基板與填埋金屬之接觸的接觸層;於腔室內配置基板,導入Si原料氣體,於該基板之該接觸孔之底的部分形成Si膜;之後,導入Ti原料氣體、還原氣體,使得此等氣體電漿化而於基板上形成Ti膜,並使得該Ti膜和該Si膜反應而於該接觸孔之底的部分形成由TiSix所構成之接觸層。
於上述第2態樣中,該Ti原料氣體使用TiCl4氣體、該還原氣體使用H2氣體為佳。此外,該Ti膜成膜之際的溫度以500℃以下為佳。再者,形成該Ti膜之際,對該腔室內導入作為電漿生成氣體之Ar氣體為佳。
上述第1以及第2態樣中,可在該腔室內所設載置台上載置基板,於該載置台設置下部電極,以和該載置台相對向的方式設置上部電極,對該上部電極供給高頻電力以藉由在該上部電極與該下部電極之間所形成之高頻電場來生成電漿。於此情況,在該下部電極所連接之傳遞路徑上連接阻抗調整電路,藉此降低從電漿來看之該傳遞路徑的阻抗而增加從電漿流往該下部電極之電流,促進氣體之解離乃較佳。
依據本發明,由於未使用到與基板之間的反應,故可在不受到底層基板影響的情況下來形成接觸層。
1‧‧‧腔室
2‧‧‧晶座
5‧‧‧加熱器
10‧‧‧淋灑頭
20‧‧‧氣體供給機構
22‧‧‧TiCl4氣體供給源
23‧‧‧Ar氣體供給源
24‧‧‧H2氣體供給源
27‧‧‧SiH4氣體供給源
41‧‧‧高頻電源
42‧‧‧電極
42a‧‧‧傳遞路徑
43‧‧‧阻抗調整電路
53‧‧‧排氣裝置
60‧‧‧控制部
62‧‧‧記憶部
62a‧‧‧記憶媒體
100‧‧‧成膜裝置
110‧‧‧Si基板
111‧‧‧層間絕緣膜
112‧‧‧接觸孔
113‧‧‧TiSix膜
114,118‧‧‧接觸層
115,119‧‧‧金屬
116‧‧‧Si膜
117‧‧‧Ti膜
W‧‧‧半導體晶圓
圖1係顯示實施本發明之接觸層形成方法的成膜裝置一例之概略截面圖。
圖2係顯示接觸層形成方法第1例之製程截面圖。
圖3係顯示形成TiSix膜之際的反應溫度與吉布士自由能的關係圖。
圖4係用以說明阻抗調整電路機能之成膜裝置示意圖。
圖5係顯示接觸層形成方法第2例之製程截面圖。
以下,參見所附圖式針對本發明之實施形態來具體說明。
於以下之說明中,氣體之流量單位使用mL/min,但由於氣體之體積會因為溫度以及氣壓而大幅變化,故本發明使用換算為標準狀態之值。此外,換算為標準狀態之流量通常係以sccm(Standerd Cubic Centimeter per Minutes)表示所以也合併記載sccm。此處標準狀態為溫度0℃(273.15K)、氣壓1atm(101325Pa)之狀態。
<用以實施接觸層形成方法之裝置>
圖1係顯示用以實施本發明之接觸層形成方法的成膜裝置一例之概略截面圖。
成膜裝置100之構成上係一面於平行平板電極形成高頻電場以形成電漿、一面藉由CVD法來形成TiSix膜、Si膜、Ti膜。
此成膜裝置100具有大致圓筒狀之腔室1。腔室1之內部,用以將作為被處理基板之Si晶圓(以下單記為晶圓)W加以水平支撐之載置台(平台)、亦即以AlN所構成之晶座2係以受到設置於其中央下部處的圓筒狀支撐構件3所支撐之狀態來配置著。於晶座2之外緣部設有用以引導晶圓W之引導環4。此外,晶座2中埋入有由鉬等高熔點金屬所構成之加熱器5,此加熱器5係從加熱器電源6被供電而將被處理基板之晶圓W加熱至既定溫度。
於腔室1之頂壁1a經由絕緣構件9設置有也作為平行平板電極之上部電極而發揮機能的預混(pre-mix)型淋灑頭10。淋灑頭10具有基座構件11與淋灑板12,淋灑板12之外周部經由防止貼附用之圓環狀中間構件13而以未圖示之螺絲固定於基座構件11。淋灑板12成為凸緣狀,其內部形成凹部,在基座構件11與淋灑板12之間形成氣體擴散空間14。基座構件11在其外周形成有凸緣部11a,此凸緣部11a被支撐於絕緣構件9。淋灑板12形成有複數氣體噴出孔15,於基座構件11之中央附近形成有一個氣體導入孔16。
此外,上述氣體導入孔16連接於氣體供給機構20之氣體管線。
氣體供給機構20具有:供給屬潔淨氣體之ClF3氣體的ClF3氣體供給源21、供給屬Ti化合物氣體之TiCl4氣體的TiCl4氣體供給源22、供給當作電漿生成氣體、沖洗氣體使用之Ar氣體的Ar氣體供給源23、供給屬還原氣體之H2氣體的H2氣體供給源24、供給屬氮化氣體之NH3氣體的NH3氣體供給源25、供給N2氣體的N2氣體供給源26、以及供給屬Si化合物氣體之SiH4氣體的SiH4氣體供給源27。此外,於ClF3氣體供給源21連接著ClF3氣體供給管線28以及35,於TiCl4氣體供給源22連接著TiCl4氣體供給管線29,於Ar氣體供給源23連接著Ar氣體供給管線30,於H2氣體供給源24連接著H2氣體供給管線31,於NH3氣體供給源25連接著NH3氣體供給管線32,於N2氣體供給源26連接著N2氣體供給管線33,於SiH4氣體供給源27連接著SiH4氣體供給管線34。此外,各氣體管線設有質流控制器37以及夾著質流控制器37之2個閥36。
於TiCl4氣體供給管線29連接著ClF3氣體供給管線28以及Ar氣體供給管線30。此外,於H2氣體供給管線31連接著NH3氣體供給管線32、N2氣體供給管線33、SiH4氣體供給管線34、以及ClF3氣體供給管線35。TiCl4氣體供給管線29以及H2氣體供給管線31連接於氣體混合部38,於該處混合過之混合氣體經由氣體配管39連接於上述氣體導入孔16。此外,混合氣體經由氣體導入孔16到達氣體擴散空間14,通過淋灑板12之氣體噴出孔15朝腔室1內之晶圓W噴出。
淋灑頭10經由匹配器40連接著高頻電源41,從此高頻電源41對淋灑頭10供給高頻電力。淋灑頭10發揮平行平板電極之上部電極的機能。另一方面,於晶座2之表面附近埋設有發揮平行平板電極之下部電極機能的電極42。從而,藉由對淋灑頭10供給高頻電力,而於淋灑頭10與電極42之間形成高頻電場,處理氣體藉由此高頻電場而電漿化。高頻電源41之頻率設定為200kHz~13.56MHz為佳,典型上使用450kHz。
連接於電極42之傳遞路徑42a連接著阻抗調整電路43。阻抗調整電路43係用以讓從電漿觀看之電極42所連接的傳遞路徑42a之阻抗降低而增加電流者,例如由線圈44與可變電容器45所構成。流經傳遞路徑42a的電流由感應器46所檢測,基於檢測值來控制阻抗調整電路43之電抗。
此外,於淋灑頭10之基座構件11設有用以對淋灑頭10進行加熱之加熱器47。此加熱器47連接著加熱器電源48,從加熱器電源48對加熱器47進行供電使得淋灑頭10被加熱至所希望之溫度。於基座構件11之上部所形成的凹部設有隔熱構件49。
於腔室1之底壁1b中央部形成有圓形孔50,於底壁1b設有以包覆此孔50的方式往下方突出之排氣室51。於排氣室51之側面連接著排氣管52,此排氣管52連接著排氣裝置53。藉由使得此排氣裝置53作動可將腔室1內減壓至既定真空度。
晶座2處,用以支撐晶圓W進行升降的3根(圖中僅顯示2根)晶圓支撐銷54相對於晶座2表面以可突沉方式設置,此等晶圓支撐銷54被支撐板55所支撐著。此外,晶圓支撐銷54經由汽缸等驅動機構56透過支撐板55而被升降。
於腔室1之側壁處設有:搬出入口57,用以在腔室1與鄰接設置之未圖示之晶圓搬送室之間進行晶圓W的搬出入;以及閘閥58,係開閉此搬出入口57。
屬於成膜裝置100之構成部的加熱器電源6以及48、閥36、質流控制器37、匹配器40、高頻電源41、可變電容器45、驅動機構56等係連接於具備微處理器(電腦)之控制部60而受到控制。此外,控制部60連接著由鍵盤(操作員為了管理成膜裝置100而進行指令之輸入操作等)以及顯示器(將成膜裝置100之運轉狀況加以視覺化顯示)等所構成之使用者介面61。再者,控制部60連接著記憶部62(儲存有用以將成膜裝置100所實行的各種處理藉由控制部60之控制來實現之程式、依據處理條件而對成膜裝置100之各構成部實行處理之程式(亦即處理配方))。處理配方係記憶於記憶部62中的記憶媒體62a。記憶媒體可為硬碟等固定式設備,也可為CDROM、DVD等可攜物。此外,也可從其他裝置經由例如專用線路來適宜地傳輸配方。此外,若依據必要性以來自使用者介面61之指示等從記憶部62呼叫出任意處理配方而於控制部60實行,則於控制部60之控制下,進形成膜裝置100所希望之處理。
<接觸層形成方法第1例>
其次,針對使用以上成膜裝置100所進行之接觸層形成方法第1例來說明。
圖2為顯示接觸層形成方法第1例之製程截面圖。本例中,晶圓W方面係使用例如具有在Si基板110上形成有層間絕緣膜111、且層間絕緣膜111形成有接觸孔112(到達Si基板110之雜質擴散區域110a)之構造者(圖2(a))。其次,使用圖1之成膜裝置100如以下說明般在此晶圓W全面形成TiSix膜113(圖2(b))。
藉由成膜裝置100形成TiSix膜之際,首先,調整腔室1內壓力後,開啟閘閥58,從搬送室(未圖示)經由搬出入口57將具有圖2(a)構造之晶圓W搬入腔室1內。此外,一邊將腔室1內維持在既定真空度、一邊對晶圓W進行預備加熱,在晶圓W溫度成為大致安定之時點,使得Ar氣體、H2氣體、TiCl4氣體、SiH4氣體流經未圖示之預流管線來進行預流後,保持在相同氣體流量以及壓力下切換為成膜用管線,將此等氣體經由淋灑頭10導入腔室1內。
此外,於此等氣體導入開始後,對淋灑頭10施加來自高頻電源41之高頻電力,生成被導入腔室1內之Ar氣體、H2氣體、TiCl4氣體以及SiH4氣體之電漿,使得經電漿化之氣體在藉由加熱器5被加熱至既定溫度的晶圓W上反應,以於基板110表面沉積TiSix膜113。藉由此種方式來使用電漿,可於接觸孔112內輕易地形成TiSix膜。
此TiSix膜113在接觸孔112底部之接觸於基板110的部分成為接觸層114,於此狀態下將金屬115填埋至接觸孔112內,以經由接觸層114在金屬115與基板110之擴散區域之間形成歐姆接觸(圖2(c))。
以往,雖藉由Ti膜與構成底層基板之Si之間的反應來選擇性成長TiSix而形成接觸區域,但對於邏輯元件等所使用之含碳的Si基板而言,底層Si與Ti之反應不易發生,且有碳被導入接觸區域造成電阻增加之問題、以及伴隨半導體元件之微細化而無法對應於擴散層之狹隘化之問題。
是以,本例中,在基板110之擴散區域上以CVD法直接形成TiSix膜113。藉此,不論底層基板之狀態如何,均可得到良好接觸。
於此情況下,基於形成雜質少、良好的接觸層之觀點,於TiSix膜形成之際必須使得成膜用氣體電漿化。
亦即,在Ti原料方面使用TiCl4氣體、在Si原料方面使用SiH4氣體來形成TiSix膜之際,如圖3所示般,相對於(1)~(4)所示氣體反應的吉布士自由能在0附近而難以產生可生成TiSix之反應的情形,若如(5)、(6)所示般讓氣體電漿化之情況則由於吉布士自由能為絕對值大的負值,故反應可充分進行。從而,藉由使用電漿可促進TiSix之形成,且可促進屬於雜質之Cl的脫離,而可生成比電阻低的良質TiSix膜。
此外,成膜溫度以500℃以下為佳。若成膜溫度超過500℃,則雜質恐會擴散至接觸層,此外,也必須擔心對於元件之不良影響。更佳為450℃以下。但是,若溫度過低則無法得到良好膜質,故以350℃以上為佳。
為了得到良好膜質之TiSix膜,以極大功率使得電漿生成氣體之Ar氣體離子化,藉由Ar離子使得TiCl4氣體解離來有效除去Cl、並於接觸孔底部以良好反應性來形成TiSix膜為佳。但是,從電漿流至晶圓W之電流為一部分,一半以上則流至腔室壁部,故若供給充分大功率之高頻電力,則從電漿流往腔室壁部之電流會變大,電漿變得不安定而恐會發生異常放電等。
是以,圖1之成膜裝置係在和晶座2內電極42連接之傳遞路徑42a上設置阻抗調整電路43,可對從電漿來見之傳遞路徑42之阻抗進行調整,來解決如此之問題。
亦即,之所以供應充分大的功率乃是為了加大電漿與晶圓之間的電漿鞘之電位差V來加速離子以促進氣體解離,但只要依據歐姆法則(V=ZI)來增加從電漿往晶圓流動之電流I,即便高頻功率低也可加大電位差。
從電漿到晶圓之間有電漿鞘與晶座2此一電容成分,此等成為電阻,但如圖4所示般,藉由阻抗調整電路43來消除此等電容成分以儘可能降低傳遞路徑42a之阻抗,則可有效地增加從電漿經由晶圓W流至傳遞路徑42a之電流。因此,能以相對小的功率來促進氣體解離,可提高反應性。此外,圖1中在阻抗調整電路43方面使用線圈44與可變電容器45之組合,而藉由可變電容器45來調整阻抗,但不限於此。
此外,若增加從電漿流至晶圓W之電流,則從電漿流至腔室壁部之電流可相對變小,即便加大高頻功率也可使得電漿安定化。
本例中,基於使得TiCl4氣體充分解離來得到良好反應性之觀點,高頻功率以100W以上為佳,此外,基於不致損及電漿安定性、不會產生電漿損傷之觀點,以3000W以下為佳。
本例之TiSix膜,從高頻電源41所供給之高頻電力的頻率以200kHz~13.56MHz為佳,典型上使用450kHz。此乃由於有利於將當作電漿氣體導入之Ar氣體做成高能量Ar離子。
腔室1內壓力,若壓力愈低則電漿損傷愈為降低,但壓力過度降低則TiSix膜之面內均一性(電阻值)會顯著惡化。此外,若壓力過高則TiSix膜之電阻值會變高而非所喜好者。是以,考慮此等點來規定較佳範圍。
TiSix膜之成膜條件具體範圍如以下所述。
高頻電力之功率:100~3000W
TiCl4流量(成膜用流量):1~100mL/min(sccm);更佳為3.5~20mL/min(sccm)
Ar氣體流量:100~10000mL/min(sccm)
H2氣體流量:50~5000mL/min(sccm)
SiH4氣體流量:1~2000mL/min(sccm)
腔室內壓力:13.3~1333Pa(0.1~10Torr)
成膜之際之晶圓溫度:350~500℃
此外,成膜時間因應於欲得膜厚來適宜設定。TiSix膜所構成之接觸層114之厚度以1~10nm程度為佳。
如以上方式進行TiSix膜之成膜後,亦可因應必要性實施TiSix膜之氮化處理。此氮化處理係於上述TiSix膜之成膜結束後,停止TiCl4氣體以及SiH4氣體,保持在流通H2氣體以及Ar氣體之狀態下,一方面將腔室1內加熱至適宜溫度,一方面在氮化氣體方面流通NH3氣體,並從高頻電源41對淋灑頭10施加高頻電力使得處理氣體電漿化,藉由電漿化處理氣體將TiSix膜表面氮化。
TiSix膜成膜後或是氮化處理後,開啟閘閥58,經由搬出入口57將晶圓W搬出至未圖示之晶圓搬送室。
如此一來,對於TiSix膜以及因應於必要性對既定片晶圓進行氮化處理後,進行腔室1之潔淨。此處理係於腔室1內不存在晶圓之狀態下,從ClF3氣體供給源21經由ClF3氣體供給管線28以及35對腔室1內導入ClF3氣體,一邊將淋灑頭10加熱至適當溫度、一邊進行乾式潔淨來進行者。
此外,本例中,Ti原料氣體使用TiCl4氣體,還原氣體使用H2氣體,Si原料氣體使用SiH4氣體,電漿生成用氣體使用Ar氣體,但不限於此。
此外,本例中係同時供給Ti原料氣體、還原氣體、Si原料氣體而藉由電漿CVD來形成TiSix膜,但也可使得Ti原料氣體以及還原氣體和Si原料氣體的供給、或是Ti原料和還原氣體和Si原料氣體的供給夾著Ar氣體、N2氣體類沖洗氣體所做的沖洗而交互反覆在生成電漿之狀態下以原子層沉積法(ALD法)來形成TiSix膜。
<接觸層形成方法第2例>
其次,針對使用以上成膜裝置100所進行之接觸層形成方法第2例來說明。
圖5顯示接觸層形成方法第2例之製程截面圖。本例中,晶圓W如圖5所示般係和第1例同樣地例如使用所具有之構造為在Si基板110上形成有層間絕緣膜111、並於層間絕緣膜111形成有到達Si基板110之雜質擴散區域110a的接觸孔112者(圖5(a))。其次,使用成膜裝置100在接觸孔112之底的Si基板110之區域選擇性生成Si膜116(圖5(b))。
於Si膜116之成膜之際,從搬送室(未圖示)經由搬出入口57將具有圖5(a)構造之晶圓W搬入腔室1內,經過晶圓W之預備加熱以及SiH4氣體以及Ar氣體的預流後,保持在相同氣體流量以及壓力下切換為成膜用管線,將SiH4氣體經由淋灑頭10導入腔室1內,於接觸孔112之底的Si基板110之區域選擇性形成Si膜116。此時,也可導入Ar氣體並對於淋灑頭10施加來自高頻電源41之高頻電力來生成電漿。
此時之具體成膜條件如以下所示。
SiH4氣體流量:1~2000mL/min(sccm)
腔室內壓力:13.3~1333Pa(0.1~10Torr)
成膜之際的晶圓溫度:350~500℃
Si膜之膜厚:1~10nm
於形成Si膜116後,全面形成Ti膜117,使得在接觸孔112之底部所存在的Si膜116和Ti膜117反應,形成由TiSix所構成之接觸層118(圖5(c))。此狀態下對接觸孔112內填埋金屬119以透過接觸層118在金屬119與基板110之擴散區域之間形成歐姆接觸(圖5(d))。
Ti膜之成膜係在利用圖1之裝置所為Si膜成膜之結束後並對腔室1內進行沖洗後來進行。沖洗後,使得Ar氣體、H2氣體、TiCl4氣體流經未圖示之預流管線來進行預流後,保持在相同氣體流量以及壓力下切換為成膜用管線,讓此等氣體經由淋灑頭10導入腔室1內。此等氣體導入開始後,對淋灑頭10施加來自高頻電源41之高頻電力,生成被導入腔室1內之Ar氣體、H2氣體以及TiCl4氣體的電漿,於藉由加熱器5被加熱到既定溫度之晶圓W上使得電漿化的TiCl4氣體與H2氣體反應,以於基板110表面形成Ti膜117。此外,受到Ti膜117成膜之際的熱以及電漿之影響,於接觸孔112之底所形成的Si膜116和Ti膜117會反應而形成由TiSix所構成之接觸層118。此時,如圖5(c)所示般,可使得Ti膜117之一部分和Si膜116反應、也可使得Ti膜117之全部和Si膜116反應。為了促進此時之反應,也可於Ti膜成膜後進行退火。
本例中,於基板110之擴散區域上以CVD法來形成Si膜後,於其上形成Ti膜117,藉由此等反應形成由TiSix所構成之接觸層118,故和第1例同樣,不論底層基板之狀態如何,都可得到良好的接觸。
如此般,於形成Si膜後,由於以電漿CVD形成Ti膜來形成TiSix,故促進Ti原料之TiCl4之分解以及Cl之脫離,形成良質的Ti膜,其和Si膜之反應所形成之TiSix所構成之接觸層的雜質變少,成為低電阻物。
此時Ti膜之成膜溫度也和第1例之TiSix膜之成膜之際同樣地以500℃以下為佳。此乃由於若成膜溫度超過500℃,會擔心雜質往接觸層擴散,此外,也擔心對元件之不良影響。更佳為450℃以下。但是,若溫度過低將無法得到良好的膜質,故以350℃以上為佳。
此外,本例中Ti膜成膜之際也和第1例同樣,可藉由和晶座2內之電極42連接著的傳遞路徑42a處所設阻抗調整電路43來對於從電漿觀看之傳遞路徑之阻抗進行調整,無須施加大功率,可將從電漿經由晶圓W流往傳遞路徑42a之電流有效地加大,可促進氣體解離,使得反應性良好。
此外,藉由增加從電漿流至晶圓W之電流,可使得從電漿往腔室壁部流動之電流相對變小,即便加大高頻功率也可使得電漿安定化。
於本例之Ti膜成膜之際也和第1例同樣地,從高頻電源41所供給之高頻電力之頻率以200kHz~13.56MHz為佳,典型上使用450kHz。此外,即便關於高頻功率也和第1例同樣地以100~3000W為佳。
本例之Ti膜成膜之際,腔室1內之壓力雖壓力愈低則愈降低電漿損傷,但若壓力過度降低則Ti膜之面內均勻性(電阻值)會顯著惡化。此外,若壓力變得過高則Ti膜之電阻值會變高而非所希望者。是以,考量此等因素來規定較佳範圍。
Ti膜之成膜條件之具體範圍如以下所述。
高頻電力之功率:100~3000W
TiCl4流量(成膜用流量):1~100mL/min(sccm)、更佳為3.5~20mL/min(sccm)
Ar氣體流量:100~10000mL/min(sccm)
H2氣體流量:50~5000mL/min(sccm)
腔室內壓力:13.3~1333Pa(0.1~10Torr)
成膜之際之晶圓溫度:350~500℃
此外,成膜時間係因應於所需膜厚來適宜設定。TiSix膜118之厚度以1~10nm程度為佳。
藉由以上方式進行Ti膜之成膜後,也可依必要性實施Ti膜之氮化處理。此氮化處理係上述Ti膜成膜結束後停止TiCl4氣體,在流通著H2氣體以及Ar氣體的狀態下,一邊將腔室1內加熱至適宜溫度、一邊流通作為氮化氣體之NH3氣體,並從高頻電源41對淋灑頭10施加高頻電力來使得處理氣體電漿化,藉由電漿化處理氣體將Ti膜表面加以氮化。
Ti膜成膜後或是氮化處理後,開啟閘閥58,經由搬出入口57將晶圓W搬出至未圖示之晶圓搬送室。此外,和第1例同樣地,於既定時機藉由ClF3氣體來進行腔室1內之潔淨。
此外,本例中,於Si膜成膜之際在Si原料氣體方面使用了SiH4氣體,於Ti膜成膜之際在Ti原料氣體方面使用了TiCl4氣體、還原氣體方面使用了H2氣體、電漿生成用氣體方面使用了Ar氣體,但不限定於此。
此外,本例中,同時供給Ti原料氣體與還原氣體以電漿CVD來形成Ti膜,但Ti原料氣體與還原氣體之供給也可夾著Ar氣體、N2氣體般沖洗氣體所做沖洗來交互反覆在生成電漿之狀態下以原子層沉積法(ALD法)來形成Ti膜。
<其他的適用>
此外,本發明不限於上述實施形態可作各種變形。例如上述實施形態中,藉由對淋灑頭施加高頻電力而形成高頻電場來生成電漿,但也可對晶座施加高頻電力,此外,電漿生成機構不限於如此之平行平板型電漿形成機構。
此外,上述實施形態中顯示在矽基板上形成Ti膜之情況,但不限於矽基板。

Claims (13)

  1. 一種接觸層之形成方法,係於具有接觸孔之基板上形成用以取得該基板與填埋金屬之接觸的接觸層;於腔室內配置基板,將Ti原料氣體、還原氣體、Si原料氣體以及作為電漿生成氣體之Ar氣體導入至該腔室內,使得此等氣體於該腔室內電漿化,並藉由所生成之Ar離子來使該Ti原料氣體解離同時於該基板上形成TiSix膜,而以該TiSix膜接觸於該接觸孔之底的部分作為接觸層。
  2. 如申請專利範圍第1項之接觸層之形成方法,其中該Ti原料氣體為TiCl4氣體,該還原氣體為H2氣體。
  3. 如申請專利範圍第2項之接觸層之形成方法,其中該TiSix膜之成膜之際的溫度為500℃以下。
  4. 如申請專利範圍第1至3項中任一項之接觸層之形成方法,其中係在該TiSix膜的成膜後進行該TiSix膜的氮化處理。
  5. 如申請專利範圍第1至3項中任一項之接觸層之形成方法,其中該TiSix膜係藉由交互地重複該Ti原料氣體及該還原氣體,與該Si原料氣體的供應,或是該Ti原料氣體、該還原氣體、該Si原料氣體的供應之原子層堆積法所成膜。
  6. 如申請專利範圍第1至3項中任一項之接觸層之形成方法,其中生成該TiSix膜時之吉布士自由能的絕對值為大於200cal/mol之負值。
  7. 一種接觸層之形成方法,係於具有接觸孔之基板上形成用以取得該基板與填埋金屬之接觸的接觸層;於腔室內配置基板,將Si原料氣體導入至該腔室內,於該基板之該接觸孔之底的部分選擇性地形成Si膜;之後,將Ti原料氣體、還原氣體以及作為電漿生成氣體之Ar氣體導入至該腔室內,使得此等氣體於該腔室內電漿化,而藉由所生成之Ar離子來使該Ti原料氣體解離同時於該基板上形成Ti膜,並使得該Ti 膜和該Si膜反應而於該接觸孔之底的部分形成由TiSix所構成之接觸層。
  8. 如申請專利範圍第7項之接觸層之形成方法,其中該Ti原料氣體為TiCl4氣體,該還原氣體為H2氣體。
  9. 如申請專利範圍第8項之接觸層之形成方法,其中該Ti膜成膜之際的溫度為500℃以下。
  10. 如申請專利範圍第1~3、7~9項中任一項之接觸層之形成方法,係在該腔室內所設載置台上載置基板,於該載置台設置下部電極,以和該載置台相對向的方式設置上部電極,對該上部電極供給高頻電力以藉由在該上部電極與該下部電極之間所形成之高頻電場來生成電漿。
  11. 如申請專利範圍第10項之接觸層之形成方法,其中在該下部電極所連接之傳遞路徑上連接阻抗調整電路,藉此降低從電漿來看之該傳遞路徑的阻抗而增加從電漿流往該下部電極之電流,促進氣體之解離。
  12. 如申請專利範圍第7至9項中任一項之接觸層之形成方法,其中係在該Ti膜的成膜後進行該Ti膜的氮化處理。
  13. 如申請專利範圍第7至9項中任一項之接觸層之形成方法,其中該Ti膜係藉由交互地重複該Ti原料氣體及該還原氣體的供應之原子層堆積法所成膜。
TW103144894A 2013-12-25 2014-12-23 Contact layer formation method TWI637443B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013267707A JP6426893B2 (ja) 2013-12-25 2013-12-25 コンタクト層の形成方法
JP2013-267707 2013-12-25

Publications (2)

Publication Number Publication Date
TW201543573A TW201543573A (zh) 2015-11-16
TWI637443B true TWI637443B (zh) 2018-10-01

Family

ID=53400852

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103144894A TWI637443B (zh) 2013-12-25 2014-12-23 Contact layer formation method

Country Status (4)

Country Link
US (1) US9349642B2 (zh)
JP (1) JP6426893B2 (zh)
KR (1) KR20150075362A (zh)
TW (1) TWI637443B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017057435A (ja) * 2015-09-14 2017-03-23 株式会社テクノファイン 原子層堆積装置
JP6796431B2 (ja) * 2016-08-12 2020-12-09 東京エレクトロン株式会社 成膜装置、およびそれに用いるガス吐出部材
JP6851173B2 (ja) * 2016-10-21 2021-03-31 東京エレクトロン株式会社 成膜装置および成膜方法
KR102163383B1 (ko) 2016-12-12 2020-10-08 어플라이드 머티어리얼스, 인코포레이티드 실리사이드 형성을 위한 방법들
US11401607B2 (en) * 2017-06-02 2022-08-02 Eugenus, Inc. TiSiN coating method
US10535527B2 (en) * 2017-07-13 2020-01-14 Applied Materials, Inc. Methods for depositing semiconductor films
KR20210040231A (ko) 2019-10-02 2021-04-13 삼성전자주식회사 반도체 장치
JP2022044209A (ja) * 2020-09-07 2022-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2023023373A (ja) 2021-08-05 2023-02-16 東京エレクトロン株式会社 プラズマ処理装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1131685A (ja) * 1997-07-14 1999-02-02 Hitachi Electron Eng Co Ltd プラズマcvd装置およびそのクリーニング方法
JP2000058484A (ja) * 1998-07-31 2000-02-25 Anelva Corp プラズマcvdによる薄膜形成方法とプラズマcvd装置
US6197674B1 (en) * 1997-07-16 2001-03-06 Tokyo Electron Limited CVD-Ti film forming method
US20010006240A1 (en) * 1997-08-21 2001-07-05 Micron Technology Inc. Method of forming titanium silicide and titanium by chemical vapor deposition and resulting apparatus
JP2002203810A (ja) * 2000-12-28 2002-07-19 Tokyo Electron Ltd 半導体装置の製造方法および半導体装置ならびに半導体装置の製造装置
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3295108B2 (ja) 1991-09-10 2002-06-24 富士通株式会社 半導体装置の製造方法
JP2565131B2 (ja) * 1994-04-22 1996-12-18 日本電気株式会社 半導体装置の製造方法
KR20010007527A (ko) * 1999-06-25 2001-01-26 조셉 제이. 스위니 반도체 장치내에 실리사이드를 형성하는 방법 및 이를이용한 프로세서 판독가능 저장매체
KR100604089B1 (ko) * 2004-12-31 2006-07-24 주식회사 아이피에스 In-situ 박막증착방법
JP5207615B2 (ja) * 2006-10-30 2013-06-12 東京エレクトロン株式会社 成膜方法および基板処理装置
JP2011100962A (ja) * 2009-10-09 2011-05-19 Tokyo Electron Ltd 成膜方法及びプラズマ処理装置
KR20130106022A (ko) * 2012-03-19 2013-09-27 주식회사 원익아이피에스 기판처리장치 및 그 동작 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1131685A (ja) * 1997-07-14 1999-02-02 Hitachi Electron Eng Co Ltd プラズマcvd装置およびそのクリーニング方法
US6197674B1 (en) * 1997-07-16 2001-03-06 Tokyo Electron Limited CVD-Ti film forming method
US20010006240A1 (en) * 1997-08-21 2001-07-05 Micron Technology Inc. Method of forming titanium silicide and titanium by chemical vapor deposition and resulting apparatus
JP2000058484A (ja) * 1998-07-31 2000-02-25 Anelva Corp プラズマcvdによる薄膜形成方法とプラズマcvd装置
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
JP2002203810A (ja) * 2000-12-28 2002-07-19 Tokyo Electron Ltd 半導体装置の製造方法および半導体装置ならびに半導体装置の製造装置

Also Published As

Publication number Publication date
KR20150075362A (ko) 2015-07-03
US9349642B2 (en) 2016-05-24
US20150179518A1 (en) 2015-06-25
JP6426893B2 (ja) 2018-11-21
JP2015124397A (ja) 2015-07-06
TW201543573A (zh) 2015-11-16

Similar Documents

Publication Publication Date Title
TWI637443B (zh) Contact layer formation method
KR100960162B1 (ko) 성막 처리 방법
KR101991574B1 (ko) 성막 장치, 및 그것에 이용하는 가스 토출 부재
JP5551583B2 (ja) 金属系膜の成膜方法および記憶媒体
US20060231032A1 (en) Film-forming method and apparatus using plasma CVD
TWI726837B (zh) Ti膜之成膜方法
TWI613309B (zh) TiN膜之成膜方法及記憶媒體
KR20230117475A (ko) 원자 층 제어를 사용한 막의 등방성 에칭
TWI750364B (zh) 形成鈦矽化物區域之方法
TWI557263B (zh) Film forming method and film forming device
TWI425113B (zh) Method of film formation of titanium film
JP2010111888A (ja) Ti膜の成膜方法および成膜装置、ならびに記憶媒体
TW202122618A (zh) 銅表面上之選擇性鈷沉積
US20220298636A1 (en) Methods and apparatus for processing a substrate
JPWO2007123212A1 (ja) Ti膜の成膜方法