TWI633626B - 一玻璃基板上之積體電路 - Google Patents

一玻璃基板上之積體電路 Download PDF

Info

Publication number
TWI633626B
TWI633626B TW105125659A TW105125659A TWI633626B TW I633626 B TWI633626 B TW I633626B TW 105125659 A TW105125659 A TW 105125659A TW 105125659 A TW105125659 A TW 105125659A TW I633626 B TWI633626 B TW I633626B
Authority
TW
Taiwan
Prior art keywords
semiconductor device
semi
glass substrate
layer
bulk silicon
Prior art date
Application number
TW105125659A
Other languages
English (en)
Other versions
TW201724364A (zh
Inventor
錫昆 古
丹尼爾 金達克
馬修 麥可 諾瓦
龍海 金
章漢 霍比 雲
傑雄 藍
大衛 法蘭西斯 伯帝
Original Assignee
美商高通公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商高通公司 filed Critical 美商高通公司
Publication of TW201724364A publication Critical patent/TW201724364A/zh
Application granted granted Critical
Publication of TWI633626B publication Critical patent/TWI633626B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • H01L23/49894Materials of the insulating layers or coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1222Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1248Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition or shape of the interlayer dielectric specially adapted to the circuit arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1262Multistep manufacturing methods with a particular formation, treatment or coating of the substrate
    • H01L27/1266Multistep manufacturing methods with a particular formation, treatment or coating of the substrate the substrate on which the devices are formed not being the final device substrate, e.g. using a temporary substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1087Substrate region of field-effect devices of field-effect transistors with insulated gate characterised by the contact structure of the substrate region, e.g. for controlling or preventing bipolar effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1255Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs integrated with passive devices, e.g. auxiliary capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Element Separation (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種積體電路(IC)包括一玻璃基板上之一第一半導體裝置。該第一半導體裝置包括一塊體矽晶圓之一第一半導電區。該IC包括該玻璃基板上之一第二半導體裝置。該第二半導體裝置包括該塊體矽晶圓之一第二半導電區。該IC包括在該第一半導電區與該第二半導電區之間的一貫穿基板溝槽。該貫穿基板溝槽包括超出該塊體矽晶圓之一表面安置的一部分。

Description

一玻璃基板上之積體電路
本發明大體上係關於包括玻璃基板之積體電路。
技術的進步已帶來更小且更強大的計算裝置。舉例而言,多種攜帶型個人計算裝置(包括無線電話(諸如行動及智慧型電話)、平板電腦及膝上型電腦)係小的輕質的,並容易由使用者攜帶。許多此類裝置併入功能性,諸如數位靜態相機、數位視訊相機、數位記錄器及音訊檔案播放器。又,此類裝置可處理可執行指令,包括軟體應用程式,諸如可用以存取網際網路之網路瀏覽器應用程式。因而,此等裝置可以包括顯著計算能力。
此類裝置亦可使用射頻(RF)傳輸經由無線網路傳達語音及資料封包。因此,此類裝置可包括積體電路(IC),諸如射頻(RF)IC,其可使用塊體矽晶圓或絕緣體上矽(SOI)晶圓而形成。使用塊體矽晶圓形成之RF IC可包括在塊體矽晶圓之矽基板層中形成的通道區。SOI晶圓包括形成於內埋氧化物層(例如SiO2)上方的矽層,該內埋氧化物層形成於矽基板層上方。在RF IC之操作期間,來自高頻信號(例如RF信號)之電場可擴散至塊體矽晶圓或SOI晶圓之矽基板層中,從而導致信號洩漏並誘發基板層中之電非線性(例如渦電流)。
在一特定態樣中,一種製造一IC之方法包括製造第一半導體裝 置及第二半導體裝置。該第一半導體裝置包括藉由一半導電層之一第一部分之半導電材料連接的一第一源極區及一第一汲極區。該第二半導體裝置包括藉由一半導電層之一第二部分之半導電材料連接的一第二源極區及一第二汲極區。該方法進一步包括移除該半導電層之部分以使得該半導電層之該第一部分與該半導電層之該第二部分不連續。該方法進一步包括將一玻璃基板耦接至該第一半導體裝置及該第二半導體裝置。
在一特定態樣中,一種IC包括一玻璃基板上之一第一半導體裝置。該第一半導體裝置包括一塊體矽晶圓之一第一半導電區。該IC包括該玻璃基板上之一第二半導體裝置。該第二半導體裝置包括該塊體矽晶圓之一第二半導電區。該IC包括在該第一半導電區與該第二半導電區之間的一貫穿基板溝槽。該貫穿基板溝槽包括超出該塊體矽晶圓之一表面安置的一部分。
在一特定態樣中,一種方法包括形成一第一半導體裝置及一第二半導體裝置。該第一半導體裝置包括在一塊體矽晶圓中之一第一半導電區且該第二半導體裝置包括在該塊體矽晶圓中之一第二半導電區。該方法包括在該第一半導電區與該第二半導電區之間形成一貫穿基板溝槽。該貫穿基板溝槽包括超出該塊體矽晶圓之一表面安置的一部分。
由所揭示態樣、實例及/或實施中之至少一者提供的一個特定優點為,與包括在共用或連續半導電區中形成之通道區的半導體裝置相比,第一半導電區與第二半導電區之實體及電分隔可實現第一半導體裝置與第二半導體裝置之間的優良電隔離。另外,與形成於矽基板上之RF IC相反,與RF信號相關聯之電場可不誘發(或可減少)玻璃基板中之電晶體負載(例如渦電流,其可為非線性的)。防止或減少與RF信號相關聯之電晶體負載可減少RF信號損失且可藉此減少電非線性。
本發明之其他態樣、優勢及特徵將在審閱整個申請案之後變得顯而易見,該申請案包括以下部分:圖式簡單說明、實施方式及申請專利範圍。
100‧‧‧積體電路(IC)
102‧‧‧玻璃基板
106‧‧‧第一閘極
108‧‧‧第二閘極
112‧‧‧第一半導電區
114‧‧‧第二半導電區
117‧‧‧層間介電質(ILD)
122‧‧‧第一半導體裝置
124‧‧‧第二半導體裝置
152‧‧‧內埋氧化物層
154‧‧‧第一源極區
156‧‧‧第一汲極區
164‧‧‧第二源極區
166‧‧‧第二汲極區
172‧‧‧第一絕緣層
174‧‧‧第二絕緣層
182‧‧‧互連件
184‧‧‧互連件
186‧‧‧隔離層
189‧‧‧互連件
190‧‧‧觸點
191‧‧‧觸點
193‧‧‧觸點
194‧‧‧觸點
195‧‧‧互連件
196‧‧‧互連件
197‧‧‧互連件
198‧‧‧觸點
199‧‧‧觸點
200‧‧‧積體電路(IC)
202‧‧‧玻璃基板
206‧‧‧第一閘極
208‧‧‧第二閘極
212‧‧‧第一半導電區
214‧‧‧第二半導電區
217‧‧‧層間介電質(ILD)
222‧‧‧第一半導體裝置
224‧‧‧第二半導體裝置
254‧‧‧第一源極區
256‧‧‧第一汲極區
264‧‧‧第二源極區
266‧‧‧第二汲極區
272‧‧‧第一絕緣層
274‧‧‧第二絕緣層
282‧‧‧互連件
283‧‧‧互連件
284‧‧‧互連件
285‧‧‧互連件
286‧‧‧互連件
287‧‧‧隔離層
288‧‧‧互連件
290‧‧‧觸點
292‧‧‧觸點
294‧‧‧觸點
296‧‧‧觸點
298‧‧‧觸點
300‧‧‧積體電路(IC)
302‧‧‧玻璃基板
306‧‧‧第一閘極
308‧‧‧第二閘極
309‧‧‧介電層
312‧‧‧第一半導電區
314‧‧‧第二半導電區
322‧‧‧第一半導體裝置
324‧‧‧第二半導體裝置
330‧‧‧層間介電質(ILD)
340‧‧‧重佈層(RDL)
354‧‧‧第一源極區
356‧‧‧第一汲極區
364‧‧‧第二源極區
366‧‧‧第二汲極區
370‧‧‧貫穿基板溝槽
372‧‧‧第一絕緣層
373‧‧‧貫穿基板溝槽
374‧‧‧第二絕緣層
375‧‧‧貫穿基板溝槽
380‧‧‧部分
382‧‧‧觸點
383‧‧‧互連件
384‧‧‧觸點
385‧‧‧觸點
386‧‧‧互連件
387‧‧‧觸點
388‧‧‧觸點
389‧‧‧互連件
390‧‧‧觸點
391‧‧‧觸點
392‧‧‧互連件
393‧‧‧觸點
394‧‧‧觸點
395‧‧‧互連件
396‧‧‧觸點
397‧‧‧觸點
398‧‧‧互連件
399‧‧‧觸點
400‧‧‧積體電路(IC)
402‧‧‧玻璃基板
403‧‧‧介電層
406‧‧‧第一閘極
408‧‧‧第二閘極
411‧‧‧部分
412‧‧‧第一半導電區
414‧‧‧第二半導電區
422‧‧‧第一半導體裝置
424‧‧‧第二半導體裝置
430‧‧‧層間介電質(ILD)
440‧‧‧重佈層(RDL)
454‧‧‧第一源極區
456‧‧‧第一汲極區
464‧‧‧第二源極區
466‧‧‧第二汲極區
470‧‧‧貫穿基板溝槽
472‧‧‧第一絕緣層
473‧‧‧貫穿基板溝槽
474‧‧‧第二絕緣層
475‧‧‧貫穿基板溝槽
480‧‧‧部分
482‧‧‧觸點
483‧‧‧互連件
484‧‧‧觸點
485‧‧‧觸點
486‧‧‧互連件
487‧‧‧觸點
488‧‧‧觸點
489‧‧‧互連件
490‧‧‧觸點
491‧‧‧觸點
492‧‧‧互連件
493‧‧‧觸點
494‧‧‧觸點
495‧‧‧互連件
496‧‧‧觸點
497‧‧‧觸點
498‧‧‧互連件
499‧‧‧觸點
501‧‧‧矽基板層
802‧‧‧第一開口
804‧‧‧第二開口
904‧‧‧開口
1000‧‧‧塊體矽晶圓
1002‧‧‧塊體矽層
1004‧‧‧磊晶層
1006‧‧‧淺溝槽隔離(STI)區
1402‧‧‧鈍化物
1502‧‧‧載體晶圓
1602‧‧‧變薄之塊體矽晶圓
1604‧‧‧表面
1702‧‧‧溝槽開口
1704‧‧‧溝槽開口
1705‧‧‧部分
1706‧‧‧溝槽開口
1708‧‧‧圖案化遮罩
2002‧‧‧鈍化物
2102‧‧‧載體晶圓
2202‧‧‧變薄之塊體矽晶圓
2206‧‧‧表面
2207‧‧‧表面
2304‧‧‧表面
2402‧‧‧溝槽開口
2404‧‧‧溝槽開口
2406‧‧‧溝槽開口
2408‧‧‧部分
2410‧‧‧部分
2412‧‧‧圖案化遮罩
2600‧‧‧方法
2700‧‧‧方法
2800‧‧‧方法
2900‧‧‧無線通信裝置
2910‧‧‧處理器
2922‧‧‧系統級封裝或系統單晶片裝置
2926‧‧‧顯示器控制器
2928‧‧‧顯示器
2930‧‧‧輸入裝置
2932‧‧‧記憶體
2934‧‧‧編解碼器(CODEC)
2936‧‧‧揚聲器
2938‧‧‧麥克風
2940‧‧‧無線控制器
2942‧‧‧天線
2944‧‧‧電源供應器
2980‧‧‧積體電路(IC)
3000‧‧‧製造過程
3002‧‧‧實體裝置資訊
3004‧‧‧使用者介面
3006‧‧‧研究電腦
3008‧‧‧處理器
3010‧‧‧記憶體
3012‧‧‧程式庫檔案
3014‧‧‧設計電腦
3016‧‧‧處理器
3018‧‧‧記憶體
3020‧‧‧電子設計自動化(EDA)工具
3022‧‧‧電路設計資訊
3024‧‧‧使用者介面
3026‧‧‧GDSII檔案
3028‧‧‧製造過程
3030‧‧‧遮罩製造者
3032‧‧‧遮罩
3033‧‧‧晶圓
3034‧‧‧處理器
3035‧‧‧記憶體
3036‧‧‧晶粒
3038‧‧‧封裝過程
3040‧‧‧封裝
3042‧‧‧PCB設計資訊
3044‧‧‧使用者介面
3046‧‧‧電腦
3048‧‧‧處理器
3050‧‧‧記憶體
3052‧‧‧GERBER檔案
3054‧‧‧板組裝過程
3056‧‧‧印刷電路板(PCB)
3058‧‧‧印刷電路總成(PCA)
3060‧‧‧產品製造過程
3062‧‧‧第一代表性電子裝置
3064‧‧‧第二代表性電子裝置
圖1展示說明包括安置於玻璃基板上之半導體裝置的積體電路(IC)之態樣的側視圖;圖2展示說明包括安置於玻璃基板上之半導體裝置的IC之態樣的側視圖;圖3展示說明包括安置於玻璃基板上之半導體裝置的IC之態樣的側視圖;圖4展示說明包括安置於玻璃基板上之半導體裝置的IC之態樣的側視圖;圖5說明圖1之IC製造期間的第一階段之實例;圖6說明圖1之IC製造期間的第二階段之實例;圖7說明圖1之IC製造期間的第三階段之實例;圖8說明圖1之IC製造期間的第四階段之實例;圖9說明圖1之IC製造期間的第五階段之實例;圖10說明圖2之IC製造期間的第一階段之實例;圖11說明圖2之IC製造期間的第二階段之實例;圖12說明圖2之IC製造期間的第三階段之實例;圖13說明圖2之IC製造期間的第四階段之實例;圖14說明圖3之IC之製造期間的第一階段之實例;圖15說明圖3之IC製造期間的第二階段之實例;圖16說明圖3之IC製造期間的第三階段之實例;圖17說明圖3之IC製造期間的第四階段之實例;圖18說明圖3之IC製造期間的第五階段之實例; 圖19說明圖3之IC之製造期間的第六階段之實例;圖20說明圖4之IC製造期間的第一階段之實例;圖21說明圖4之IC製造期間的第二階段之實例;圖22說明圖4之IC製造期間的第三階段之實例;圖23說明圖4之IC製造期間的第四階段之實例;圖24說明圖4之IC製造期間的第五階段之實例;圖25說明圖4之IC製造期間的第六階段之實例;圖26為形成圖1之IC的方法之特定說明性實例的流程圖;圖27為形成圖2之IC的方法之特定說明性實例的流程圖;圖28為形成圖3或圖4之IC的方法之特定說明性實例的流程圖;圖29為包括玻璃基板上之IC的攜帶型裝置之方塊圖;且圖30為製造包括玻璃基板上之IC的電子裝置之製造過程的特定說明性實例之資料流程圖。
圖1說明包括使用絕緣體上矽(SOI)晶圓形成並安置於玻璃基板102上之第一半導體裝置122及第二半導體裝置124的積體電路(IC)(或IC的一部分)100之實例。第一半導體裝置122可鄰近於第二半導體裝置124(例如第一半導體裝置122及第二半導體裝置124可為相鄰裝置)。在一些實例中,第一半導體裝置122及第二半導體裝置124可對應於互補金屬氧化物半導體(CMOS)裝置之金屬氧化物半導體場效電晶體(MOSFET)之互補對。在一些實例中,IC 100可經組態以在射頻(RF)應用中操作為例如RF組件之一部分。舉例而言,IC 100可經組態以操作為RF切換器。在此實例中,IC 100可包括或耦接至RF組件之一或多個被動裝置(例如電感器及電容器)。
第一半導體裝置122具有源極區(例如「第一源極區」154)並具有汲極區(例如「第一汲極區」156)。第一源極區154及第一汲極區156 可形成於第一半導電區112中或可藉由該第一半導電區連接,該第一半導電區由半導電材料(諸如矽(Si)或鍺(Ge))形成或包括該半導電材料。圖1之第二半導體裝置124具有源極區(例如「第二源極區」164)並具有汲極區(例如「第二汲極區」166)。第二源極區164及第二汲極區166可形成於第二半導電區114中或可藉由該第二半導電區連接,該第二半導電區由半導電材料(諸如Si或Ge)形成或包括該半導電材料。
可如下文參看圖5至圖9更詳細地描述而形成IC 100。在一些實例中,第一半導電區112可形成於SOI晶圓的矽層之一第一部分中或可對應於該第一部分,且第二半導電區114可形成於SOI晶圓之矽層之第二部分中或可對應於該第二部分,如下文參看圖5至圖9更詳細地描述。舉例而言,圖5說明製造圖1之IC 100的第一階段期間的實例,且SOI晶圓可包括在內埋氧化物層152之第一側面上之矽層且可包括在內埋氧化物層152之第二側面上之矽基板層501。位於圖1之第一半導電區112與第二半導電區114之間的SOI晶圓之內埋氧化物層152的第一側面上之矽層之部分可經移除(蝕刻)以使得圖1之第一半導電區112及第二半導電區114不由半導電材料連接。因此,第一半導電區112可與第二半導電區114不連續。
在操作期間,第一半導體裝置122之通道可形成於第一半導電區112中,且第二半導體裝置124之通道可形成於第二半導電區114中。因此,第一半導體裝置122及第二半導體裝置124之通道可形成在SOI晶圓之內埋氧化物層152之第一側面上的矽層之半導電材料(例如矽)之不連續部分中。
在一些實例中,第一半導體裝置122之閘極(「第一閘極」)106可安置於玻璃基板102與第一半導電區112之間,且第二半導體裝置124之閘極(例如「第二閘極」)108可安置於玻璃基板102與第二半導電區114之間。在一些實例中,第一半導電區112及第二半導電區114係在 玻璃基板102與(如參看圖5至圖9更詳細地描述之經修改SOI晶圓的)內埋氧化物層152之間。
另外,圖1之IC 100可包括第一半導電區112之半導電材料與第二半導電區114之半導電材料之間的(例如,層間介電質(ILD)117之)介電材料。介電材料可電力地隔離第一半導電區112與第二半導電區114。
與包括形成於共用或連續半導電區中之通道區的半導體裝置相比,第一半導電區112之矽材料與第二半導電區114之半導電材料的實體及電分隔可實現第一半導體裝置122與第二半導體裝置124之間的優良電隔離。另外,與形成於矽基板上之RF IC相反,與RF信號相關聯的電場可不誘發(或可減少)玻璃基板102中之電晶體負載(例如渦電流,其可為非線性)。防止或減少與RF信號相關聯的電晶體負載可減少RF信號損失並可藉此減少電非線性。
圖2說明包括使用塊體矽晶圓形成並安置於玻璃基板202上之第一半導體裝置222及第二半導體裝置224的IC(或IC的一部分)200。第一半導體裝置222可鄰近於第二半導體裝置224(例如第一半導體裝置222及第二半導體裝置224可為相鄰裝置)。在一些實例中,第一半導體裝置222及第二半導體裝置224可對應於CMOS裝置的MOSFET之互補對。在一些實例中,IC 200可經組態以在RF應用中操作。舉例而言,IC 200可經組態以操作為RF切換器。
第一半導體裝置222具有源極區(例如「第一源極區」254)並具有汲極區(例如「第一汲極區」256)。第一源極區254及第一汲極區256可形成於第一半導電區212中或可由該第一半導電區連接,該第一半導電區由半導電材料(諸如矽)形成或包括該半導電材料。第二半導體裝置224具有源極區(例如「第二源極區」264)並具有汲極區(例如「第二汲極區」266)。第二源極區264及第二汲極區266可形成於第二半導 電區214中或可由該第二半導電區連接,該第二半導電區由半導電材料(諸如矽)形成或包括該半導電材料。
可如下文參看圖10至圖13更詳細地描述而形成IC 200。在一些實例中,圖2之第一半導電區212可形成於矽晶圓(諸如塊體矽晶圓(例如塊體矽磊晶晶圓))之第一部分中或可對應於該第一部分,且第二半導電區214可形成於塊體矽晶圓之第二部分中或可對應於該第二部分。舉例而言,圖10說明包括塊體矽層(例如,重摻雜塊體矽晶圓)1002及磊晶層1004的塊體矽晶圓1000(例如,塊體矽磊晶晶圓)。在此實例中,圖2之第一半導電區212及第二半導電區214可形成於圖10之磊晶層1004的第一部分及第二部分中或可對應於第一部分及第二部分。舉例而言,圖2之第一半導電區212與第二半導電區214之間的塊體矽晶圓1000之部分(例如磊晶層1004之部分)可經移除(蝕刻)以使得第一半導電區212及第二半導電區214不由半導電材料連接。因此,第一半導電區212可與第二半導電區214不連續,且第一半導體裝置222及第二半導體裝置224之通道可形成在經圖案化(蝕刻)塊體矽晶圓之半導電材料之不連續部分中。
在操作期間,第一半導體裝置222之通道可形成於第一半導電區212中,且第二半導體裝置224之通道可形成於第二半導電區214中。因此,第一半導體裝置222及第二半導體裝置224之通道可形成於圖10的塊體矽晶圓1000之半導電材料之不連續部分中。
在一些實例中,第一半導體裝置222之閘極(「第一閘極」)206可安置於玻璃基板202與第一半導電區212之間,且第二半導體裝置224之閘極(例如「第二閘極」)208可安置於玻璃基板202與第二半導電區214之間。
另外,IC 200可包括第一半導電區212之矽材料與第二半導電區214之矽材料之間的(例如ILD 217及/或隔離層287之)介電材料。介電 材料可電力地隔離第一半導電區212與第二半導電區214。
與包括形成於共用或連續半導電區中之通道區的半導體裝置相比,第一半導電區212之半導電材料與第二半導電區214之半導電材料的實體及電分隔可實現第一半導體裝置222與第二半導體裝置224之間的優良電隔離。另外,與形成於矽基板上之RF IC相反,與RF信號相關聯的電場可不誘發(或可減少)玻璃基板202中之電晶體負載(例如渦電流)。防止或減少與RF信號相關聯的電晶體負載可減少RF信號損失或洩漏並可減少電非線性。
圖3說明包括使用塊體矽晶圓形成(並安置於玻璃基板302上)之第一半導體裝置322及第二半導體裝置324的IC(或IC的一部分)300。第一半導體裝置322可鄰近於第二半導體裝置324(例如第一半導體裝置322及第二半導體裝置324可為相鄰裝置)。在一些實例中,第一半導體裝置322及第二半導體裝置324可對應於CMOS裝置的MOSFET之互補對。在一些實例中,IC 300可經組態以在RF應用中操作。舉例而言,IC 300可經組態以操作為RF切換器。
第一半導體裝置322具有源極區(例如「第一源極區」354)並具有汲極區(例如「第一汲極區」356)。第一源極區354及第一汲極區356可形成於第一半導電區312中或可由該第一半導電區連接,該第一半導電區由半導電材料(諸如矽)形成或包括該半導電材料。第一半導電區312可對應於如以下參看圖14至圖19更詳細地描述的塊體矽晶圓之第一部分。第二半導體裝置324具有源極區(例如「第二源極區」364)並具有汲極區(例如「第二汲極區」366)。第二源極區364及第二汲極區366可形成於第二半導電區314中或可由該第二半導電區連接,該第二半導電區由半導電材料(諸如矽)形成或包括該半導電材料。第二半導電區314可對應於塊體矽晶圓之第二部分。在操作期間,第一半導體裝置322之通道可形成於第一半導電區312中,且第二半導體裝置 324之通道可形成於第二半導電區314中。
IC 300可包括在第一半導電區312與第二半導電區314之間的貫穿基板溝槽370。可如下文參看圖14至圖19更詳細地描述而形成貫穿基板溝槽370。貫穿基板溝槽370可包括超出該塊體矽晶圓之一表面安置的一部分。在一些實例中,貫穿基板溝槽370係以介電材料(諸如包括氧化物之材料)填充。超出塊體矽晶圓之表面安置的貫穿基板溝槽370之部分可安置於層間介電質(ILD)330中。舉例而言,圖14至圖19說明在圖3之IC 300的形成期間之特定階段的實例。塊體矽晶圓可對應於圖14之塊體矽晶圓1000或圖16之變薄之塊體矽晶圓1602,且表面可對應於圖16之表面1604。在此實例中,圖3之貫穿基板溝槽370可包括超出圖16之表面1604安置(例如至ILD 330中)的部分380。在此實例中,圖3之貫穿基板溝槽370可延伸穿過圖16的變薄之塊體矽晶圓1602之整個厚度並超出變薄之塊體矽晶圓1602之表面1604至ILD 330中。因此,圖3之第一半導電區312可與第二半導電區314不連續,且貫穿基板溝槽370可電力地隔離第一半導電區312與第二半導電區314。
與包括形成於共用或連續半導電區中之通道區的半導體裝置相比,第一半導電區312之半導電材料與第二半導電區314之半導電材料的實體及電分隔可實現第一半導體裝置322與第二半導體裝置324之間的優良電隔離。另外,與形成於矽基板上之RF IC相反,與RF信號相關聯的電場可不誘發(或可減少)玻璃基板302中之電晶體負載(例如渦電流)。防止或減少與RF信號相關聯的電晶體負載可減少RF信號損失或洩漏並可減少電非線性。另外,與未延伸超出矽晶圓之貫穿基板溝槽相比,延伸超出矽晶圓(例如至層間介電層中)的貫穿基板溝槽370可提供優良電容隔離。
圖4說明包括使用塊體矽晶圓形成並安置於玻璃基板402上之第一半導體裝置422及第二半導體裝置424的IC(或IC的一部分)400。第 一半導體裝置422可鄰近於第二半導體裝置424(例如第一半導體裝置422及第二半導體裝置424可為相鄰裝置)。在一些實例中,第一半導體裝置422及第二半導體裝置424可對應於CMOS裝置的MOSFET之互補對。在一些實例中,IC 400可經組態以在RF應用中操作。舉例而言,IC 400可經組態以操作為RF切換器。
第一半導體裝置422具有源極區(例如「第一源極區」454)並具有汲極區(例如「第一汲極區」456)。第一源極區454及第一汲極區456可形成於第一半導電區412中或可由該第一半導電區連接,該第一半導電區由半導電材料(諸如矽)形成或包括該半導電材料。第一半導電區412可對應於如以下參看圖20至圖25更詳細地描述的塊體矽晶圓之第一部分。第二半導體裝置424具有源極區(例如「第二源極區」464)並具有汲極區(例如「第二汲極區」466)。第二源極區464及第二汲極區466可形成於第二半導電區414中或可由該第二半導電區連接,該第二半導電區由半導電材料(諸如矽)形成或包括該半導電材料。第二半導電區414可對應於塊體矽晶圓之第二部分。在操作期間,第一半導體裝置422之通道可形成於第一半導電區412中,且第二半導體裝置424之通道可形成於第二半導電區414中。
IC 400可包括在第一半導電區412與第二半導電區414之間的貫穿基板溝槽470。可如下文參看圖20至圖25更詳細地描述而形成貫穿基板溝槽470。貫穿基板溝槽470可包括超出塊體矽晶圓之一或多個表面而安置的部分。在一些實例中,貫穿基板溝槽470係以介電材料(諸如包括氧化物之材料)填充。舉例而言,圖20至圖25說明在圖4之IC 400的形成期間之特定階段的實例。塊體矽晶圓可對應於圖20之塊體矽晶圓1000或圖22之變薄之塊體矽晶圓2202,且一或多個表面可對應於表面2206及2207。在此實例中,圖4之貫穿基板溝槽470包括超出圖22之表面2207而安置(例如至ILD 430中)的部分480及超出圖22之表面2206 (例如至玻璃基板402中)而安置的圖4之部分411。因此,圖4之第一半導電區412可與第二半導電區414不連續,且貫穿基板溝槽470可電力地隔離第一半導電區412與第二半導電區414。
與包括形成於共用或連續半導電區中之通道區的半導體裝置相比,第一半導電區412之半導電材料與第二半導電區414之半導電材料的實體及電分隔可實現第一半導體裝置422與第二半導體裝置424之間的優良電隔離。另外,與形成於矽基板上之RF IC相反,與RF信號相關聯的電場可不誘發(或可減少)玻璃基板402中之電晶體負載(例如渦電流)。防止或減少與RF信號相關聯的電晶體負載可減少RF信號損失或洩漏並可減少電非線性。另外,與未延伸超出矽晶圓之貫穿基板溝槽相比,延伸超出矽晶圓(例如至層間介電層中及至玻璃基板中)的貫穿基板溝槽470可提供優良電容隔離。
圖5說明圖1之IC 100之製造期間的第一階段之實例。圖5之第一階段可包括使用SOI晶圓製造第一半導體裝置122及第二半導體裝置124,製造金屬化物(例如觸點及互連件),形成層間介電層,及執行化學機械平坦化。SOI晶圓可包括如上文參看圖1所描述的在內埋氧化物層152之第一側面上的矽層及在內埋氧化物層152之第二側面上的矽基板層501。
第一氧化層[未說明]可形成於SOI晶圓之內埋氧化物層152的第一側面上之矽層上。在一些實例中,可使用濕式氧化技術形成第一氧化層。第一氧化層可經蝕刻,曝露SOI晶圓的內埋氧化物層152之第一側面上的矽層之第一區及第二區。SOI晶圓之內埋氧化物層152的第一側面上之矽層的曝露之第一區及第二區可分別對應於圖1之第一半導電區112及第二半導電區114的位置。絕緣材料(諸如SiO2)之薄層可在經蝕刻第一氧化層及在SOI晶圓之內埋氧化物層152之第一側面上的矽層的曝露之第一區及第二區的表面上方生長。閘極材料層可在絕緣材料 上方形成。舉例而言,閘極材料層可包括金屬或多晶矽或可由金屬或多晶矽形成且可使用化學氣相沈積(CVD)技術而沈積。閘極材料層可經圖案化以形成圖1之第一閘極106及第二閘極108。舉例而言,閘極材料層可使用光微影製程而圖案化。絕緣材料之薄層的曝露部分可經移除,從而留下第一絕緣層172及第二絕緣層174。絕緣材料之薄層的曝露部分可使用蝕刻製程而移除。可在SOI晶圓的矽層之第一區及第二區之曝露部分上執行擴散製程,藉此形成圖1的第一源極區154、第一汲極區156、第二源極區164及第二汲極區166。
SOI晶圓之內埋氧化物層152的第一側面上之矽層的部分可經移除(例如,使用蝕刻製程),從而留下SOI晶圓的內埋氧化物層152之第一側面上的矽層之第一島狀物及SOI晶圓的內埋氧化物層152之第一側面上的矽層之第二島狀物。第一島狀物可對應於圖1之第一半導電區112,且第二島狀物可對應於第二半導電區114。
另外,可形成與圖1之第一半導體裝置122及第二半導體裝置124相關聯的金屬化組件(諸如觸點及互連件)。舉例而言,觸點190可經形成以接觸第一源極區154,且觸點191可經形成以接觸第一汲極區156。作為另一實例,觸點193可經形成以接觸第二源極區164,且觸點194可經形成以接觸第二汲極區166。另外,可形成互連件189、195、196及/或197。儘管圖1中說明兩個互連件層級,但IC 100可包括大於兩個互連件層級或少於兩個互連件層級。
另外,ILD 117可藉由沈積介電材料而形成。第一半導體裝置122與第二半導體裝置124之間的ILD 117之介電材料的部分可電力地隔離第一半導電區112與第二半導電區114。另外,ILD 117可藉由執行化學機械研磨(CMP)而平坦化。
圖6說明圖1之IC 100製造期間的第二階段之實例。圖6之第二階段可包括將玻璃基板102耦接至第一半導體裝置122及第二半導體裝置 124。舉例而言,ILD 117可由包括氧化物之材料形成,且玻璃基板102可使用分子、融合或直接結合技術(諸如氧化物與氧化物結合)結合至ILD 117。
圖7說明圖1之IC 100製造期間的第三階段之實例。圖7之第三階段可包括移除圖6之矽基板層501及蝕刻SOI晶圓之內埋氧化物層152中的開口。圖6之矽基板層501可藉由向下研磨矽基板層501、執行濕式蝕刻或兩者而移除。因此,SOI晶圓之矽基板層501可經移除。
移除SOI晶圓之矽基板層501可曝露SOI晶圓之內埋氧化物層152的第二側面。在圖7之第三階段期間經蝕刻至內埋氧化物層152中的一或多個開口可包括第一開口802及第二開口804。第一開口802及第二開口804可使用蝕刻製程而形成。第一開口802可曝露第一半導電區112之一部分。
圖8說明圖1之IC 100製造期間的第四階段之實例。圖8之第四階段可包括在ILD 117中形成一或多個開口904。在一些實例中,一或多個開口904可使用蝕刻製程而形成。一或多個開口904可曝露互連件,諸如互連件197。
圖9說明圖1之IC 100製造期間的第五階段之實例。圖9之第五階段可包括形成觸點。舉例而言,圖9之第五階段可包括藉由將導電材料沈積至圖8之第一開口802中及至對應於開口804及904之開口中而形成觸點198及199。在一些實例中,導電材料可包括鎢或鋁或由其形成。在一些實例中,導電材料可使用CVD製程而沈積。圖9之第五階段可進一步包括執行CMP製程以移除內埋氧化物層152上之任何剩餘導電材料及平坦化內埋氧化物層152(第二側面的)之表面。
參看圖1,說明IC 100之製造期間的第六階段之實例。可在圖10之第五階段之後執行第六階段。第六階段可包括形成額外互連件或被動裝置,諸如電感器。例如,可形成互連件182及184。互連件182及 184可由銅或鋁形成或包括其。互連件182及184可藉由隔離層186彼此電隔離。互連件182及184以及隔離層186可使用半加成製程(SAP)而形成。
圖10至圖13(結合圖2)說明在包括使用矽晶圓(例如圖10之塊體矽晶圓1000)形成並安置於玻璃基板上之第一半導體裝置及第二半導體裝置的IC之製造期間之階段的實例。舉例而言,圖10至圖13(其可各自包括多個步驟或製程)之說明性階段可用於製造圖2之IC 200。
圖10可說明圖2之IC 200之製造期間的第一階段之實例。塊體矽晶圓1000可為或可包括磊晶晶圓。舉例而言,塊體矽晶圓1000可包括塊體矽層1002及形成(例如生長)於塊體矽層1002之表面上的磊晶層1004(例如,薄的中度至輕微摻雜之磊晶矽層)。圖12之第一階段可包括製造圖2之第一半導體裝置222及第二半導體裝置224,製造金屬化物(例如觸點及互連件),形成層間介電層,及執行化學機械平坦化。
舉例而言,淺溝槽隔離(STI)區1006(例如,氧化區)可形成於磊晶層1004中。另外,蝕刻終止層(未圖示)(諸如SiN層)可形成於STI區1006上方(例如,STI區1006與ILD 217之間)。另外,第一氧化層[未說明]可形成於磊晶層1004上。在一些實例中,可使用濕式氧化技術形成第一氧化層。第一氧化層可經蝕刻,從而曝露磊晶層1004之第一區及第二區。磊晶層1004的曝露之第一區及第二區可分別對應於圖2的第一半導電區212及第二半導電區214。絕緣材料(諸如SiO2)之薄層可在圖10的經蝕刻第一氧化層及磊晶層1004之經曝露第一區及第二區之表面上方生長。閘極材料層可在絕緣材料上方形成。舉例而言,閘極材料層可包括金屬或多晶矽或由其形成且可使用CVD技術而沈積。閘極材料層可經圖案化以形成第一閘極206及第二閘極208。舉例而言,閘極材料層可使用光微影製程而圖案化。絕緣材料之薄層的曝露部分(例如,未由第一閘極206及第二閘極208覆蓋的絕緣材料之薄層的部 分)可經移除,從而留下第一絕緣層272及第二絕緣層274。絕緣材料之薄層的曝露部分可使用蝕刻製程而移除。移除薄絕緣層之曝露部分可曝露磊晶層1004之部分(例如,磊晶層1004之第一側面的部分可經曝露)。擴散製程可在磊晶層1004之曝露部分上執行,藉此形成第一源極區254、第一汲極區256、第二源極區264,及第二汲極區266。
另外,可形成與圖2之第一半導體裝置222及第二半導體裝置224相關聯的金屬化組件(諸如觸點及互連件)。舉例而言,觸點298可經形成以接觸第一源極區254,且觸點296可經形成以接觸第一汲極區256。作為另一實例,觸點292可經形成以接觸第二源極區264,且觸點290可經形成以接觸第二汲極區266。另外,可形成觸點294及互連件282、284、286及288。舉例而言,觸點294可形成於STI區1006上。
另外,ILD 217可藉由沈積介電材料而形成。另外,ILD 217可藉由執行化學機械研磨(CMP)而平坦化。
圖11可說明圖2之IC 200之製造期間的第二階段之實例。圖11之第二階段可包括將玻璃基板耦接至第一半導體裝置222及第二半導體裝置224。舉例而言,ILD 217可由包括氧化物之材料形成,且玻璃基板202可使用分子、融合或直接結合技術(諸如氧化物與氧化物結合)結合至ILD 217。
圖12可說明圖2之IC 200之製造期間的第三階段之實例。圖12之第三階段可包括使圖11之塊體矽晶圓1000變薄。塊體矽晶圓1000可使用研磨技術及/或蝕刻技術(諸如濕式蝕刻技術)而變薄。舉例而言,當塊體矽晶圓1000包括塊體矽層1002及磊晶層1004時,圖12之第三階段可包括使用移除圖11之塊體矽層1002且不移除磊晶層1004的選擇性蝕刻劑(例如,選擇性蝕刻劑具有對磊晶層1004之材料上方的塊體矽層1002之材料的大蝕刻選擇性)執行濕式蝕刻。移除塊體矽層1002可曝露磊晶層1004(例如可曝露磊晶層1004之第二側面)。
圖13可說明圖2之IC 200之製造期間的第四階段之實例。圖13之第四階段可包括移除在移除塊體矽層1002之後保留的圖11之塊體矽晶圓1000的部分以形成對應於第一半導電區212及第二半導電區214之半導電材料之第一及第二島狀物。舉例而言,當圖11之塊體矽晶圓1000包括磊晶層1004時,圖13之第四階段可包括選擇性地蝕刻圖12之磊晶層1004的部分,留下對應於圖13之第一半導電區212的磊晶層1004之第一剩餘部分及對應於圖13之第二半導電區214的圖12之磊晶層1004之第二剩餘部分。
參見圖2,說明在圖2之IC 200的製造期間的第五階段之實例。可在圖13之第四階段之後執行第五階段。第五階段可包括形成隔離層287、額外互連件、被動裝置(諸如電感器)或其組合。舉例而言,可形成互連件283及285。互連件283及285可由銅或鋁形成或包括其。在形成互連件283及285之前,圖10至圖13之STI區1006可經移除(例如,藉由蝕刻至蝕刻終止層)。隔離層287可電力地隔離互連件283與互連件285。互連件283及284以及隔離層287可使用半加成製程(SAP)而形成。
圖14至圖19說明在包括使用矽晶圓(例如圖10之塊體矽晶圓1000)形成並安置於玻璃基板上之第一半導體裝置及第二半導體裝置的IC之製造期間的階段之實例。舉例而言,圖14至圖19(其可各自包括多個步驟或製程)之說明性階段可用於製造圖3之IC 300。
圖14可說明圖3之IC 300之製造期間的第一階段之實例。圖14之第一階段可包括製造第一半導體裝置322及第二半導體裝置324,製造金屬化物(例如觸點及互連件),形成層間介電層,及執行化學機械研磨。
舉例而言,第一氧化層[未說明]可形成於塊體矽晶圓1000上。在一些實例中,可使用濕式氧化技術形成第一氧化層。第一氧化層可經 蝕刻,從而曝露塊體矽晶圓1000之第一區及第二區。塊體矽晶圓1000的所曝露第一及第二區之區域可對應於圖3之第一半導電區312及第二半導電區314分別定位於其中的區域。絕緣材料(諸如SiO2)之薄層可在圖14的經蝕刻第一氧化層及塊體矽晶圓1000之經曝露第一及第二區之表面上方生長。閘極材料層可在絕緣材料上方形成。舉例而言,閘極材料層可包括金屬或多晶矽或由其形成且可使用CVD技術而沈積。閘極材料層可經圖案化以形成第一閘極306及第二閘極308。舉例而言,閘極材料層可使用光微影製程而圖案化。絕緣材料之薄層之經曝露部分(例如未由第一閘極306及第二閘極308覆蓋的絕緣材料之薄層之部分)可經移除(例如使用蝕刻製程),從而留下第一絕緣層372及第二絕緣層374。移除薄絕緣層之經曝露部分可曝露塊體矽晶圓1000之部分。擴散製程可在矽晶圓之曝露部分上執行,藉此形成第一源極區354、第一汲極區356、第二源極區364,及第二汲極區366。
另外,可形成與第一半導體裝置322及第二半導體裝置324相關聯的金屬化組件(諸如觸點及互連件)。舉例而言,觸點382及384以及互連件383可經形成以接觸第一源極區354,觸點388及390以及互連件389可經形成以接觸第一汲極區356,且觸點385及387以及互連件386可經形成以接觸第一閘極306。作為另一實例,觸點391及393以及互連件392可經形成以接觸第二源極區364,觸點397及399以及互連件398可經形成以接觸第二汲極區366,且觸點394及396以及互連件395可經形成以接觸第二閘極308。
另外,ILD 330可藉由沈積介電材料而形成。ILD 330可藉由執行化學機械研磨(CMP)而平坦化且鈍化物1402可形成於經平坦化ILD330上。
圖15可說明圖3之IC 300之製造期間的第二階段之實例。圖15之第二階段可包括將載體晶圓1502附著至晶圓。在一些實例中,載體晶 圓1502可包括玻璃或由玻璃形成。在一些實例中,載體晶圓1502可使用黏著劑(例如膠水)附著。
圖16可說明圖3之IC 300之製造期間的第三階段之實例。圖16之第三階段可包括使圖15之塊體矽晶圓1000變薄以形成圖16之變薄之塊體矽晶圓1602或層。在一些實例中,圖15之塊體矽晶圓1000可使用機械磨削技術及/或化學機械研磨而變薄。為了說明,塊體矽晶圓1000可具有約10微米之厚度,可執行機械磨削以使塊體矽晶圓1000變薄至約2微米或小於2微米的厚度,且接著可執行化學機械研磨製程以進一步使矽晶圓變薄,從而導致圖16之變薄之塊體矽晶圓1602。
圖17可說明圖3之IC 300之製造期間的第四階段之實例。圖17之第四階段可包括形成包括延伸超出圖16之變薄之塊體矽晶圓1602的表面之部分的一或多個溝槽開口。舉例而言,圖17之第四階段可包括形成延伸穿過圖16之變薄之塊體矽晶圓1602並包括延伸超出變薄之塊體矽晶圓1602之表面之部分的溝槽開口1702、1704及/或1706。在一些實例中,表面可對應於圖16之表面1604。舉例而言,圖17之第四階段可包括形成包括延伸超出圖16之表面1604之部分1705的溝槽開口1702。圖17之溝槽開口1702、1704及/或1706可藉由蝕刻穿過圖16之變薄之塊體矽晶圓1602至超出圖16之變薄之塊體矽晶圓1602之表面1604定位的層(例如圖16的ILD 330)中而形成。ILD 330可位於變薄之塊體矽晶圓1602與載體晶圓1502之間。溝槽開口1702、1704及/或1706可使用選擇性蝕刻製程以蝕刻穿過圖16之變薄之塊體矽晶圓1602至ILD 330中而形成。為了說明,遮罩可經沈積並經圖案化於變薄之塊體矽晶圓1602之圖16的表面1604上(例如,圖17之圖案化遮罩1708)。圖案化遮罩1708可曝露在對應於圖3的貫穿基板溝槽370之位置的位置處的變薄之塊體矽晶圓1602之一部分。一或多個濕式或乾式蝕刻可經執行以蝕刻穿過圖16之變薄之塊體矽晶圓1602並部分至ILD 330中以形成圖17的溝槽開口1702、1704及/或1706。
圖18可說明圖3之IC 300之製造期間的第五階段之實例。圖18之第五階段可包括以一或多種介電材料填充圖17的溝槽開口1702、1704及/或1706以形成圖18的貫穿基板溝槽370、373及/或375。在一些實例中,圖17之溝槽開口1702、1704及/或1706可使用氧化製程以包括氧化物之介電材料填充。貫穿基板溝槽370、373及/或375可包括超出圖16之變薄之塊體矽晶圓1602之一表面安置的一部分。舉例而言,圖18之貫穿基板溝槽370可包括超出圖16之表面1604而安置的部分380(例如在圖16之ILD 330的介電材料中)。
圖19可說明圖3之IC 300之製造期間的第六階段之實例。圖19之第六階段可包括將玻璃基板302耦接至第一半導體裝置322及第二半導體裝置324。舉例而言,玻璃基板302可使用結合製程耦接至第一半導體裝置322及第二半導體裝置324以將玻璃基板302結合至第一半導電區312及第二半導電區314之半導電材料。
參見圖3,說明在圖3之IC 300的製造期間的第七階段之實例。可在圖19之第六階段之後執行第七階段。第七階段可包括移除圖19之載體晶圓1502。在一些實例中,載體晶圓1502可使用蝕刻製程或使用另一分離製程(諸如藉由以熱方式軟化膠水層或使用雷射切除移除膠水層)而移除。圖3的第七階段可進一步包括形成額外金屬化物。舉例而言,可形成重佈層(RDL)340且可沈積介電層309。
圖20至圖25可說明在包括使用矽晶圓(例如塊體矽晶圓1000)形成並安置於玻璃基板上之第一半導體裝置及第二半導體裝置的IC之製造期間的階段之實例。舉例而言,圖20至圖25(其可各自包括多個步驟或製程)之說明性階段可用於製造圖4之IC 400。
圖20可說明圖4之IC 400之製造期間的第一階段之實例。圖20之第一階段可包括製造第一半導體裝置422及第二半導體裝置424之部 分,製造金屬化物(例如觸點及互連件),形成層間介電層,及執行化學機械研磨,如上文參看圖14所描述。
舉例而言,第一氧化層[未說明]可形成於塊體矽晶圓1000上。在一些實例中,可使用濕式氧化技術形成第一氧化層。第一氧化層可經蝕刻,從而曝露塊體矽晶圓1000之第一區及第二區。塊體矽晶圓1000的所曝露第一區及第二區之區域可對應於圖4之第一半導電區412及第二半導電區414分別定位於其中的區域。絕緣材料(諸如SiO2)之薄層可在圖20的經蝕刻第一氧化層及塊體矽晶圓1000之經曝露第一及第二區之表面上方生長。閘極材料層可在絕緣材料上方形成。舉例而言,閘極材料層可包括金屬或多晶矽或由其形成且可使用CVD技術而沈積。閘極材料層可經圖案化以形成第一閘極406及第二閘極408。舉例而言,閘極材料層可使用光微影製程而圖案化。絕緣材料之薄層之經曝露部分(例如未由第一閘極406及第二閘極408覆蓋的絕緣材料之薄層之部分)可經移除(例如使用蝕刻製程),從而留下第一絕緣層472及第二絕緣層474。移除薄絕緣層之經曝露部分可曝露塊體矽晶圓1000之部分。擴散製程可在矽晶圓之曝露部分上執行,藉此形成第一源極區454、第一汲極區456、第二源極區464,及第二汲極區466。
另外,可形成與第一半導體裝置422及第二半導體裝置424相關聯的金屬化組件(諸如觸點及互連件)。舉例而言,觸點482及484以及互連件483可經形成以接觸第一源極區454,觸點488及490以及互連件489可經形成以接觸第一汲極區456,且觸點485及487以及互連件486可經形成以接觸第一閘極406。作為另一實例,觸點491及493以及互連件492可經形成以接觸第二源極區464,觸點497及499以及互連件498可經形成以接觸第二汲極區466,且觸點494及496以及互連件495可經形成以接觸第二閘極408。另外,ILD 430可藉由沈積介電材料而形成。ILD 430可藉由執行化學機械研磨(CMP)而平坦化且鈍化物 2002可形成於經平坦化ILD 330上。
圖21可說明圖4之IC 400之製造期間的第二階段之實例。圖21之第二階段可包括將載體晶圓2102附著至晶圓。在一些實例中,載體晶圓2102可包括玻璃或由玻璃形成,且載體晶圓2102可使用黏著劑附著。
圖22可說明圖4之IC 400之製造期間的第三階段之實例。圖22之第三階段可包括使圖21之塊體矽晶圓1000變薄以形成圖22之變薄之塊體矽晶圓2202。在一些實例中,圖21之塊體矽晶圓1000可使用機械磨削技術及/或化學機械研磨而變薄。為了說明,塊體矽晶圓1000可具有約10微米之厚度,機械磨削可經執行以使塊體矽晶圓1000變薄至約2微米的厚度,且接著化學機械研磨製程可經執行以進一步使矽晶圓變薄,從而導致圖22之變薄之塊體矽晶圓2202。
圖23可說明圖4之IC 400之製造期間的第四階段之實例。圖23之第四階段可包括將玻璃基板402耦接至第一半導體裝置422及第二半導體裝置424。舉例而言,玻璃基板402可使用結合製程耦接至第一半導體裝置422及第二半導體裝置424以將玻璃基板402結合至第一半導電區412及第二半導電區414之半導電材料。
圖24可說明圖4之IC 400之製造期間的第五階段之實例。圖24之第五階段可包括移除圖23之載體晶圓2102及形成溝槽開口2402、2404及/或2406。溝槽開口2402、2404及/或2406可延伸穿過(例如完全延伸穿過)圖23之變薄之塊體矽晶圓2202並超出圖23之變薄之塊體矽晶圓2202的表面。舉例而言,表面可對應於表面2206及/或圖23之表面2207。舉例而言,圖24之溝槽開口2402可藉由蝕刻穿過圖23之ILD 430、穿過圖23之變薄之塊體矽晶圓2202及至圖23之玻璃基板402中而形成。圖24之溝槽開口2402可包括延伸超出圖23之表面2206的部分2410及/或可包括延伸超出圖23之表面2207的圖24之部分2408。ILD 430可接近於第一半導體裝置422及/或第二半導體裝置424及接近於變薄之塊體矽晶圓2202之部分而定位。在一些實例中,圖24之溝槽開口2402、2404及/或2406可使用選擇性蝕刻製程以蝕刻穿過圖23之ILD 430、穿過變薄之塊體矽晶圓2202及至玻璃基板402中而形成。為了說明,遮罩可經沈積及圖案化於變薄之塊體矽晶圓2202的圖23之表面2304上(例如圖案化遮罩2412)。圖案化遮罩2412可曝露在對應於圖4之貫穿基板溝槽470、473及/或475的位置之位置處的ILD 430之表面2304的部分。一或多個濕式或乾式蝕刻可經執行以蝕刻穿過圖23之ILD 430、變薄之塊體矽晶圓2202並部分至玻璃基板402中以形成圖24之溝槽開口2402、2404及/或2406。
圖25可說明圖4之IC 400之製造期間的第六階段之實例。圖25之第六階段可包括以一或多種介電材料填充圖24之溝槽開口2402、2404及/或2406以形成圖25的貫穿基板溝槽470、473及/或475。在一些實例中,圖24之溝槽開口2402、2404及/或2406可使用氧化製程以包括氧化物之介電材料填充。
圖25的貫穿基板溝槽470、473及/或475中之每一者可包括接近於第一半導電區412及第二半導電區414在介電層的介電材料中安置之部分。舉例而言,貫穿基板溝槽470可包括安置於ILD 430之介電材料中之部分480。因此,貫穿基板溝槽470可包括超出圖20之塊體矽晶圓1000的圖23之表面2207或圖23之變薄之塊體矽晶圓2202(至藉由ILD 430之介電材料先前佔據的區中)而安置的部分480。另外,圖25之貫穿基板溝槽470可包括超出變薄之塊體矽晶圓2202的圖23之表面2206(例如至藉由圖23之玻璃基板402先前佔據的區中)而安置的部分411。
參見圖4,說明在圖4之IC 400的製造期間的第七階段之實例。可在圖25之第六階段之後執行第七階段。圖4的第七階段可包括形成額外金屬化物。舉例而言,可形成重佈層(RDL)440及介電層403。
參見圖26,描繪製造電子裝置之方法2600的說明性實例之流程圖。電子裝置可包括圖1之IC 100。方法可包括分別參看圖5、圖6及圖7描述的第一階段、第二階段及第三階段。
舉例而言,圖26之方法2600可包括在2602處製造第一半導體裝置及第二半導體裝置。第一半導體裝置可具有藉由半導電層之第一部分之半導電材料連接的第一源極區及第一汲極區,且第二半導體裝置可具有藉由半導電層之第二部分之半導電材料連接的第二源極區及第二汲極區。第一半導體裝置及第二半導體裝置可對應於圖1之第一半導體裝置122及第二半導體裝置124。半導電層可對應於接近於SOI晶圓的內埋氧化物層(例如圖1之內埋氧化物層152)之第一側面的SOI晶圓之矽層。第一部分及第二部分可對應於圖1之第一半導電區112及第二半導電區114。
可使用任何技術製造第一半導體裝置及第二半導體裝置。舉例而言,第一氧化層[未說明]可形成於SOI晶圓之矽層上。在一些實例中,可使用濕式氧化技術形成第一氧化層。第一氧化層可經蝕刻,從而曝露SOI晶圓之矽層的第一區及第二區。SOI晶圓之矽層的所曝露第一區及第二區可分別對應於圖1之第一半導電區112及第二半導電區114的位置。絕緣材料(諸如SiO2)之薄層可在經蝕刻第一氧化層及SOI晶圓之矽層的經曝露第一及區第二區之表面上方形成。閘極材料層可在絕緣材料上方生長。舉例而言,閘極材料層可包括金屬或多晶矽或可由金屬或多晶矽形成且可使用化學氣相沈積(CVD)技術而沈積。閘極材料層可經圖案化以形成圖1之第一閘極106及第二閘極108。舉例而言,閘極材料層可使用光微影製程而圖案化。絕緣材料之薄層的曝露部分可經移除(例如使用蝕刻製程),從而留下第一絕緣層172及第二絕緣層174。移除薄絕緣層之曝露部分可曝露SOI晶圓的矽層之第一區及第二區之部分。可在SOI晶圓的矽層之第一區及第二區之曝露部 分上執行擴散製程,藉此形成圖1的第一源極區154、第一汲極區156、第二源極區164及第二汲極區166。
圖26之方法2600可進一步包括在2604處移除半導電層之部分以使得半導電層之第一部分與半導電層之第二部分不連續。舉例而言,SOI晶圓之矽層的部分可經移除(例如使用蝕刻製程),從而留下SOI晶圓的矽層之第一島狀物及SOI晶圓的矽層之第二島狀物。第一島狀物可對應於圖1之第一半導電區112,且第二島狀物可對應於第二半導電區114。
另外,可形成與圖1之第一半導體裝置122及第二半導體裝置124相關聯的金屬化組件(諸如觸點及互連件)。舉例而言,觸點190可經形成以接觸第一源極區154,且觸點191可經形成以接觸第一汲極區156。作為另一實例,觸點193可經形成以接觸第二源極區164,且觸點194可經形成以接觸第二汲極區166。另外,可形成互連件189、195、196及/或197。
另外,ILD 117可藉由沈積介電材料而形成。第一半導體裝置122與第二半導體裝置124之間的ILD 117之介電材料的部分可電力地隔離第一半導電區112與第二半導電區114。另外,ILD 117可藉由執行化學機械研磨(CMP)而平坦化。
圖26之方法2600可進一步包括在2606處將玻璃基板耦接至第一半導體裝置及第二半導體裝置。舉例而言,玻璃基板可對應於圖1之玻璃基板102且可耦接至第一半導體裝置及第二半導體裝置,如參考圖6之第二階段所描述。舉例而言,ILD 117可由包括氧化物之材料形成,且玻璃基板102可使用分子、融合或直接結合技術(諸如氧化物與氧化物結合)結合至ILD 117。
圖26之方法2600可進一步包括在2608處移除接近於SOI之內埋氧化物層的半導體材料。舉例而言,可移除圖6之矽基板層501。在一些 實例中,圖6之矽基板層501可藉由研磨矽基板層501及/或執行濕式蝕刻而移除。
圖26之方法2600可進一步包括如上文參考圖7之第三階段所描述將一或多個開口蝕刻至內埋氧化物層152中,如上文參考圖8之第四階段所描述在ILD 117中形成開口,及/或如上文參考圖9之第五階段所描述形成觸點。
參看圖27,描繪製造電子裝置之方法2700的說明性實例之流程圖。電子裝置可包括圖2之IC 200。方法可包括分別參看圖10、圖11、圖12及圖13描述的第一階段、第二階段、第三階段及第四階段。
舉例而言,圖27之方法2700可包括在2702處製造第一半導體裝置及第二半導體裝置。第一半導體裝置可具有藉由半導電層之第一部分之半導電材料連接的第一源極區及第一汲極區,且第二半導體裝置可具有藉由半導電層之第二部分之半導電材料連接的第二源極區及第二汲極區。第一半導體裝置及第二半導體裝置可對應於圖2之第一半導體裝置222及第二半導體裝置224。半導電層可對應於塊體矽晶圓(諸如,圖10之塊體矽晶圓1000)之矽層。在一些實例中,半導電層對應於塊體矽晶圓1000之磊晶層1004。第一部分及第二部分可對應於圖2之第一半導電區212及第二半導電區214。
可使用任何技術製造第一半導體裝置及第二半導體裝置。舉例而言,第一氧化層[未說明]可形成於圖10之磊晶層1004上。在一些實例中,可使用濕式氧化技術形成第一氧化層。第一氧化層可經蝕刻,從而曝露磊晶層1004之第一區及第二區。磊晶層1004的曝露之第一區及第二區可分別對應於圖2的第一半導電區212及第二半導電區214。絕緣材料(諸如SiO2)之薄層可在圖10的經蝕刻第一氧化層及磊晶層1004之經曝露第一區及第二區之表面上方生長。閘極材料層可在絕緣材料上方形成。舉例而言,閘極材料層可包括金屬或多晶矽或可由其 形成且可使用CVD技術而沈積。閘極材料層可經圖案化以形成第一閘極206及第二閘極208。舉例而言,閘極材料層可使用光微影製程而圖案化。絕緣材料之薄層之經曝露部分(例如未由第一閘極206及第二閘極208覆蓋的絕緣材料之薄層之部分)可經移除(例如使用蝕刻製程),從而留下第一絕緣層272及第二絕緣層274。移除薄絕緣層之曝露部分可曝露磊晶層1004之部分(例如,磊晶層1004之第一側面的部分可經曝露)。擴散製程可在磊晶層1004之曝露部分上執行,藉此形成第一源極區254、第一汲極區256、第二源極區264,及第二汲極區266。替代地,第一半導體裝置及第二半導體裝置可使用不同製程而形成。舉例而言,犧牲閘極可經形成且隨後以高K介電材料及一或多種金屬替換以形成高k/金屬閘極。
另外,可形成與圖2之第一半導體裝置222及第二半導體裝置224相關聯的金屬化組件(諸如觸點及互連件)。舉例而言,觸點298可經形成以接觸第一源極區254,且觸點296可經形成以接觸第一汲極區256。作為另一實例,觸點292可經形成以接觸第二源極區264,且觸點290可經形成以接觸第二汲極區266。另外,可形成觸點294及互連件282、284、286及288。
另外,ILD 217可藉由沈積介電材料而形成。另外,ILD 217可藉由執行化學機械研磨(CMP)而平坦化。
圖27之方法2700可進一步包括在2704處將玻璃基板耦接至第一半導體裝置及第二半導體裝置。舉例而言,玻璃基板可對應於圖11之玻璃基板202且玻璃基板可如參考圖11之第二階段所描述耦接至第一半導體裝置及第二半導體裝置。
圖27之方法2700可進一步包括在2706處使塊體矽晶圓變薄。舉例而言,圖11之塊體矽晶圓1000可變薄。塊體矽晶圓1000可使用研磨技術及/或蝕刻技術(諸如濕式蝕刻技術)而變薄。舉例而言,當塊體矽 晶圓1000包括塊體矽層1002及磊晶層1004時,塊體矽晶圓1000可藉由使用移除塊體矽層1002且不移除磊晶層1004的選擇性蝕刻劑(例如,選擇性蝕刻劑具有對於磊晶層1004之材料上方的塊體矽層1002之材料的大蝕刻選擇性)執行濕式蝕刻而變薄。移除塊體矽層1002可曝露磊晶層1004(例如可曝露磊晶層1004之第二側面)。
圖27之方法2700可進一步包括在2708處移除半導電層之部分以使得半導電層之第一部分與半導電層之第二部分不連續。舉例而言,半導電層之部分可使用蝕刻製程而移除以形成對應於圖13的第一半導電區212及第二半導電區214之半導電材料之第一島狀物及第二島狀物。舉例而言,當圖11之塊體矽晶圓1000包括磊晶層1004時,圖12之磊晶層1004的部分可經蝕刻,從而留下對應於圖13之第一半導電區212的磊晶層1004之第一剩餘部分及對應於圖13之第二半導電區214的圖12的磊晶層1004之第二剩餘部分。
參看圖28,描繪製造電子裝置之方法2800的說明性實例之流程圖。電子裝置可包括圖3之IC 300或圖4之IC 400。
圖28之方法2800可包括在2802處形成第一半導體裝置及第二半導體裝置。第一半導體裝置包括塊體矽晶圓之第一半導電區,且第二半導體裝置包括塊體矽晶圓之第二半導電區。塊體矽晶圓可對應於圖10之塊體矽晶圓1000。第一半導體裝置及第二半導體裝置可對應於圖3的第一半導體裝置322及第二半導體裝置324且可如上文參考圖14之第一階段所描述而形成。替代地,第一半導體裝置及第二半導體裝置可對應於圖4的第一半導體裝置422及第二半導體裝置424且可如上文參考圖20之第一階段所描述而形成。
圖28之方法2800可包括在2804處使塊體矽晶圓變薄。舉例而言,方法2800可包括如上文參看圖16及圖22所描述而使圖10之塊體矽晶圓1000變薄。
圖28之方法2800可包括在2806處形成貫穿基板溝槽及在2808處將玻璃基板耦接至第一半導體裝置及第二半導體裝置。玻璃基板可對應於圖3之玻璃基板302或圖4之玻璃基板402,且貫穿基板溝槽可對應於圖3之貫穿基板溝槽370或圖4之貫穿基板溝槽470。
在一些實例中,玻璃基板可在形成貫穿基板溝槽之後耦接至第一半導體裝置及第二半導體裝置。舉例而言,圖3之貫穿基板溝槽370可如上文參考圖17之第四階段及圖18之第五階段所描述而形成,且圖19之玻璃基板302可如上文參考圖19之第六階段所描述耦接至第一半導體裝置322及第二半導體裝置324。
替代地,玻璃基板可在形成貫穿基板溝槽之前耦接至第一半導體裝置及第二半導體裝置。舉例而言,圖23之玻璃基板402可如上文參考圖23之第四階段所描述耦接至第一半導體裝置422及第二半導體裝置424,且圖3之貫穿基板溝槽470可如上文參考圖24之第五階段及圖25之第六階段所描述而形成。
參看圖29,無線通信裝置之特定說明性實例的方塊圖經描繪並通常指定為2900。無線通信裝置2900包括耦接至記憶體2932(例如,隨機存取記憶體(RAM)、快閃記憶體、唯讀記憶體(ROM)、可程式化唯讀記憶體(PROM)、可擦除可程式化唯讀記憶體(EPROM)、電可擦除可程式化唯讀記憶體(EEPROM)、寄存器、硬碟、可卸除式磁碟、緊密光碟唯讀記憶體(CD-ROM),或此項技術中已知的任何其他形式之非暫態存儲媒體)之處理器2910,諸如數位信號處理器(DSP)。
圖29亦展示顯示器控制器2926,其耦接至處理器2910及顯示器2928。編碼器/解碼器(CODEC)2934亦可耦接至處理器2910。揚聲器2936及麥克風2938可耦接至編解碼器2934。
圖29亦指示無線控制器2940可耦接至處理器2910且可進一步耦接至天線2942。無線控制器2940可包括一或多個IC 2980,諸如RF IC 組件(例如切換器)。一或多個IC 2980可包括或可對應於圖1之IC 100、圖2之IC 200、圖3的之IC 300、圖4之IC 400,或其組合。一或多個IC 2980可經組態以作為天線切換器或分佈切換器之部分投送RF信號。
在一特定實施中,處理器2910、顯示器控制器2926、記憶體2932、編解碼器2934,及無線控制器2940包括於系統級封裝或系統單晶片裝置2922中。在一特定實施中,輸入裝置2930及電源供應器2944耦接至系統單晶片裝置2922。此外,在一特定實施中,如圖29中所說明,顯示器2928、輸入裝置2930、揚聲器2936、麥克風2938、天線2942及電源供應器2944在系統單晶片裝置2922之外部。然而,顯示器2928、輸入裝置2930、揚聲器2936、麥克風2938、天線2942及電源供應器2944中之每一者可耦接至系統單晶片裝置2922之組件,諸如介面或控制器。
前文所揭示之裝置及功能性可經設計及經組態至儲存於電腦可讀媒體上之電腦檔案(例如,RTL、GDSII、GERBER,等等)中。一些或所有此等檔案可提供至基於此等檔案製造裝置之製造操作者。所得產品包括接著被切割成半導體晶粒且封裝成半導體晶片的半導體晶圓。晶片接著整合至電子裝置中,如另外參看圖30所描述。
參看圖30,電子裝置製造(例如製造)過程之特定說明性實施經描繪並通常指定為3000。在製造過程3000處(諸如在研究電腦3006處)接收實體裝置資訊3002。實體裝置資訊3002可包括表示半導體裝置(諸如圖1的玻璃基板102以及第一半導體裝置122及第二半導體裝置124;圖2的玻璃基板202以及第一半導體裝置222及第二半導體裝置224;圖3的玻璃基板302、貫穿基板溝槽370以及第一半導體裝置322及第二半導體裝置324;圖4的玻璃基板402、貫穿基板溝槽470以及第一半導體裝置422及第二半導體裝置424,或其任何組合)之至少一個物理性質 的設計資訊。舉例而言,實體裝置資訊3002可包括經由耦接至研究電腦3006之使用者介面3004鍵入的物理參數、材料特性及結構資訊。研究電腦3006包括耦接至電腦可讀媒體(例如非暫時性電腦可讀儲存媒體)(諸如記憶體3010)之處理器3008,諸如一或多個處理核心。記憶體3010可儲存可經執行以使得處理器3008變換實體裝置資訊3002以符合檔案格式並產生程式庫檔案3012的電腦可讀指令。
在一特定實施中,程式庫檔案3012包括至少一個包括經變換設計資訊之資料檔案。舉例而言,程式庫檔案3012可包括半導體裝置(包括一包括以下各者之裝置:圖1之玻璃基板102以及第一半導體裝置122及第二半導體裝置124;圖2之玻璃基板202以及第一半導體裝置222及第二半導體裝置224;圖3之玻璃基板302、貫穿基板溝槽370以及第一半導體裝置322及第二半導體裝置324;圖4之玻璃基板402、貫穿基板溝槽470以及第一半導體裝置422及第二半導體裝置424,或其任何組合)之庫,該庫經提供以供與電子設計自動化(EDA)工具3020一起使用。
程式庫檔案3012可在包括耦接至記憶體3018之處理器3016(諸如一或多個處理核心)之設計電腦3014處結合EDA工具3020而使用。EDA工具3020可在記憶體3018處儲存為處理器可執行指令以使得設計電腦3014之使用者能夠設計程式庫檔案3012的一電路,該電路包括圖1之玻璃基板102以及第一半導體裝置122及第二半導體裝置124;圖2之玻璃基板202以及第一半導體裝置222及第二半導體裝置224;圖3之玻璃基板302、貫穿基板溝槽370以及第一半導體裝置322及第二半導體裝置324;圖4之玻璃基板402、貫穿基板溝槽470以及第一半導體裝置422及第二半導體裝置424,或其任何組合。舉例而言,設計電腦3014之使用者可經由耦接至設計電腦3014之使用者介面3024而鍵入電路設計資訊3022。該電路設計資訊3022可包括表示一半導體裝置(諸 如圖1之玻璃基板102以及第一半導體裝置122及第二半導體裝置124;圖2之玻璃基板202以及第一半導體裝置222及第二半導體裝置224;圖3之玻璃基板302、貫穿基板溝槽370以及第一半導體裝置322及第二半導體裝置324;圖4之玻璃基板402、貫穿基板溝槽470以及第一半導體裝置422及第二半導體裝置424,或其任何組合)之至少一個物理性質的設計資訊。為了說明,電路設計性質可包括特定電路之標識符及與電路設計中之其他元件的關係、定位資訊、特徵大小資訊、互連資訊,或表示半導體裝置之物理性質的其他資訊。
設計電腦3014可經組態以變換包括電路設計資訊3022之設計資訊以符合檔案格式。為了說明,檔案格式可包括資料庫二進位檔案格式,其表示平面幾何形狀、文本標記及關於呈多階層格式(諸如圖形資料系統(GDSII)檔案格式)之電路佈局的其他資訊。設計電腦3014可經組態以產生除其他電路或資訊以外還包括經變換設計資訊之資料檔案,諸如包括描述圖1之玻璃基板102以及第一半導體裝置122及第二半導體裝置124;圖2之玻璃基板202以及第一半導體裝置222及第二半導體裝置224;圖3的之玻璃基板302、貫穿基板溝槽370以及第一半導體裝置322及第二半導體裝置324;圖4之玻璃基板402、貫穿基板溝槽470以及第一半導體裝置422及第二半導體裝置424,或其任何組合之資訊的GDSII檔案3026。為了說明,資料檔案可包括對應於系統單晶片(SOC)之資訊,該系統單晶片包括圖1之玻璃基板102以及第一半導體裝置122及第二半導體裝置124;圖2之玻璃基板202以及第一半導體裝置222及第二半導體裝置224;圖3之玻璃基板302、貫穿基板溝槽370以及第一半導體裝置322及第二半導體裝置324;圖4之玻璃基板402、貫穿基板溝槽470以及第一半導體裝置422及第二半導體裝置424,且亦包括SOC內之額外電子電路及組件。
GDSII檔案3026可在製造過程3028處接收以根據GDSII檔案3026 中之變換資訊製造圖1之玻璃基板102以及第一半導體裝置122及第二半導體裝置124;圖2之玻璃基板202以及第一半導體裝置222及第二半導體裝置224;圖3之玻璃基板302、貫穿基板溝槽370以及第一半導體裝置322及第二半導體裝置324;圖4之玻璃基板402、貫穿基板溝槽470以及第一半導體裝置422及第二半導體裝置424,或其任何組合。舉例而言,裝置製造過程可包括提供GDSII檔案3026至遮罩製造者3030以產生一或多個遮罩,諸如待與光微影術處理一起使用的遮罩,經說明為代表性遮罩3032。遮罩3032可在製造過程期間用以產生一或多個晶圓3033,其可經測試及分離成晶粒,諸如代表性晶粒3036。晶粒3036包括一包括一裝置之電路,該裝置包括圖1之玻璃基板102以及第一半導體裝置122及第二半導體裝置124;圖2之玻璃基板202以及第一半導體裝置222及第二半導體裝置224;圖3之玻璃基板302、貫穿基板溝槽370以及第一半導體裝置322及第二半導體裝置324;圖4之玻璃基板402、貫穿基板溝槽470以及第一半導體裝置422及第二半導體裝置424,或其任何組合。
舉例而言,製造過程3028可包括處理器3034及記憶體3035以起始及/或控制製造過程3028。記憶體3035可包括可執行指令,諸如電腦可讀指令或處理器可讀指令。可執行指令可包括可由諸如處理器3034之電腦執行的一或多個指令。在一特定實例中,可執行指令可引起電腦執行圖26之方法2600(或其至少一部分)、圖27之方法2700(或其至少一部分)及/或圖28之方法2800(或其至少一部分)。
製造過程3028可藉由完全自動化或部分自動化的製造系統實施。舉例而言,製造過程3028可根據排程而自動化。製造系統可包括用以執行一或多個操作以形成半導體裝置之製造裝備(例如處理工具)。舉例而言,製造裝備可經組態以使用化學氣相沈積(CVD)及/或物理氣相沈積(PVD)沈積一或多種材料,使用單一遮罩或多遮罩微影 蝕刻製程(例如,兩個遮罩LELE)圖案化材料,使用微影冷凍微影蝕刻(LFLE)製程圖案化材料,使用自對準雙圖案化(SADP)製程圖案化材料,磊晶地生長一或多種材料,保形地沈積一或多種材料,施加硬式遮罩,施加蝕刻遮罩,執行蝕刻,執行平坦化,形成虛設閘極堆疊,形成閘極堆疊,執行標準清潔1類型,等等。在一特定實例中,製造過程3028對應於與小於14奈米(例如10奈米、7奈米等)之技術節點相關聯的半導體製造過程。特定製程或用以製造一裝置(例如包括圖1之玻璃基板102以及第一半導體裝置122及第二半導體裝置124;圖2之玻璃基板202以及第一半導體裝置222及第二半導體裝置224;圖3之玻璃基板302、貫穿基板溝槽370以及第一半導體裝置322及第二半導體裝置324;圖4之玻璃基板402、貫穿基板溝槽470以及第一半導體裝置422及第二半導體裝置424;或其任何組合)之製程的組合可基於設計約束及可用的材料/裝備。因此,在特定實施中,與參看圖1至圖30所描述的相比,在裝置製造期間可使用不同製程。
製造系統(例如執行製造過程3028之自動化系統)可具有分佈式架構(例如階層)。舉例而言,製造系統可包括一或多個處理器(諸如處理器3034)、一或多個記憶體(諸如記憶體3035),及/或根據分佈式架構分佈之控制器。分佈式架構可包括控制或起始一或多個低層級系統之操作的高層級處理器。舉例而言,製造過程3028之高層級部分可包括一或多個處理器(諸如處理器3034),且低層級系統可各自包括一或多個對應控制器或可藉由該一或多個對應控制器控制。特定低層級系統之特定控制器可自特定高層級系統接收一或多個指令(例如命令),可發佈子命令至從屬模組或處理工具,並可將狀態資料傳達回至特定高層級。一或多個低層級系統中之每一者可與製造裝備之一或多個對應段(例如處理工具)相關聯。在特定實施中,製造系統可包括分佈在製造系統中的多個處理器。舉例而言,低層級系統組件之控制器可包括 處理器,諸如處理器3034。
替代地,處理器3034可為高層級系統之一部分、子系統或製造系統之組件。在另一實施中,處理器3034包括在製造系統之各個層級及組件處的分佈式處理。
包括於記憶體3035中之可執行指令可使得處理器3034能夠形成(或起始形成)圖1之玻璃基板102以及第一半導體裝置122及第二半導體裝置124;圖2之玻璃基板202以及第一半導體裝置222及第二半導體裝置224;圖3之玻璃基板302、貫穿基板溝槽370以及第一半導體裝置322及第二半導體裝置324;圖4之玻璃基板402、貫穿基板溝槽470以及第一半導體裝置422及第二半導體裝置424;或其任何組合。在特定實施中,記憶體3035為儲存可由處理器3034執行以使得處理器3034根據圖26之方法2600(或其至少一部分)、圖27之方法2700(或其至少一部分)及/或圖28之方法2800(或其至少一部分)的至少一部分起始形成裝置的電腦可執行指令之非暫時性電腦可讀媒體。舉例而言,電腦可執行指令可經執行以使得處理器3034起始形成圖1之玻璃基板102以及第一半導體裝置122及第二半導體裝置124;圖2之玻璃基板202以及第一半導體裝置222及第二半導體裝置224;圖3之玻璃基板302、貫穿基板溝槽370以及第一半導體裝置322及第二半導體裝置324;圖4之玻璃基板402、貫穿基板溝槽470以及第一半導體裝置422及第二半導體裝置424;或其任何組合。作為說明性實例,處理器3034可起始或控制圖26的方法2600(或其至少一部分)、圖27的方法2700(或其至少一部分)及/或圖28的方法2800(或其至少一部分)之一或多個步驟。
晶粒3036可經提供至其中晶粒3036併入至代表性封裝3040中之封裝過程3038。舉例而言,封裝3040可包括單一晶粒3036或多個晶粒,諸如系統級封裝(SIP)配置。封裝3040可經組態以符合一或多個標準或規格,諸如聯合電子裝置工程委員會(JFDFC)標準。
關於封裝3040之資訊可諸如經由儲存於電腦3046處之組件庫分佈至各個產品設計者。電腦3046可包括耦接至記憶體3050之處理器3048,諸如一或多個處理核心。印刷電路板(PCB)工具可在記憶體3050處儲存為處理器可執行指令以處理經由使用者介面3044自電腦3046之使用者接收的PCB設計資訊3042。PCB設計資訊3042可包括封裝半導體裝置在電路板上之實體定位資訊,該封裝半導體裝置對應於封裝3040,該封裝包括圖1之玻璃基板102以及第一半導體裝置122及第二半導體裝置124;圖2之玻璃基板202以及第一半導體裝置222及第二半導體裝置224;圖3之玻璃基板302、貫穿基板溝槽370以及第一半導體裝置322及第二半導體裝置324;圖4之玻璃基板402、貫穿基板溝槽470以及第一半導體裝置422及第二半導體裝置424;或其任何組合。
電腦3046可經組態以變換PCB設計資訊3042以產生資料檔案,諸如具有資料的GERBER檔案3052,該資料包括封裝半導體裝置在電路板上之實體定位資訊以及諸如跡線及通孔之電連接之佈局,其中封裝半導體裝置對應於封裝3040,該封裝包括圖1之玻璃基板102以及第一半導體裝置122及第二半導體裝置124;圖2之玻璃基板202以及第一半導體裝置222及第二半導體裝置224;圖3之玻璃基板302、貫穿基板溝槽370以及第一半導體裝置322及第二半導體裝置324;圖4之玻璃基板402、貫穿基板溝槽470以及第一半導體裝置422及第二半導體裝置424;或其任何組合。在其他實施中,由經變換PCB設計資訊產生之資料檔案可具有除GERBER格式以外之格式。
GERBER檔案3052可在板組裝過程3054處接收並用以產生根據儲存於GERBER檔案3052內之設計資訊製造的PCB(諸如代表性PCB 3056)。舉例而言,GERBER檔案3052可經上載至一或多個機器以執行PCB生產製程之各個步驟。PCB 3056可以包括封裝3040之電子組件 填充以形成代表性印刷電路總成(PCA)3058。
PCA 3058可在產品製造過程3060處接收並整合至一或多個電子裝置(諸如第一代表性電子裝置3062及第二代表性電子裝置3064)中。舉例而言,第一代表性電子裝置3062、第二代表性電子裝置3064或兩者可包括或對應於圖29之無線通信裝置2900。作為說明性非限制性實例,第一代表性電子裝置3062、第二代表性電子裝置3064或兩者可包括通信裝置、固定位置資料單元、行動位置資料單元、行動電話、蜂巢式電話、衛星電話、電腦、平板電腦、攜帶型電腦或桌上型電腦。 或者或另外,第一代表性電子裝置3062、第二代表性電子裝置3064或兩者可包括以下各者整合至其中的機上盒、娛樂單元、導航裝置、個人數位助理(PDA)、監視器、電腦監視器、電視、調諧器、無線電、衛星無線電、音樂播放器、數位音樂播放器、攜帶型音樂播放器、視訊播放器、數位視訊播放器、數位視訊光碟(DVD)播放器、攜帶型數位視訊播放器、儲存或擷取資料或電腦指令之任何其他裝置,或其一組合:圖1之玻璃基板102以及第一半導體裝置122及第二半導體裝置124;圖2之玻璃基板202以及第一半導體裝置222及第二半導體裝置224;圖3之玻璃基板302、貫穿基板溝槽370以及第一半導體裝置322及第二半導體裝置324;圖4之玻璃基板402、貫穿基板溝槽470以及第一半導體裝置422及第二半導體裝置424;或其任何組合。
作為另一說明性非限制性實例,電子裝置3062及3064中之一或多者可包括諸如行動電話之遠端單元、手持式個人通信系統(PCS)單元、諸如個人資料助理之攜帶型資料單元、全球定位系統(GPS)啟用裝置、導航裝置、諸如儀錶讀取裝備之固定位置資料單元、或儲存或擷取資料或電腦指令之任何其他裝置,或其任何組合。儘管圖30說明根據本發明之教示內容的遠端單元,但本發明不限於此等說明之單元。本發明之態樣、實例及/或實施可適當地用於包括包括記憶體及 晶片上電路之作用中積體電路的任何裝置。舉例而言,電子裝置3062及3064中之一或多者可包括汽車、卡車、飛機、船、其他車輛或電氣設備,諸如冰箱、微波爐、洗衣機、安全系統、其他電氣設備或其一組合。在一特定實施中,電子裝置3062及3064中之一或多者可利用記憶體及/或無線通信。
如說明性製程3000中所描述,包括以下各者的裝置可經製造、處理,並併入至電子裝置中:圖1之玻璃基板102以及第一半導體裝置122及第二半導體裝置124;圖2之玻璃基板202以及第一半導體裝置222及第二半導體裝置224;圖3之玻璃基板302、貫穿基板溝槽370以及第一半導體裝置322及第二半導體裝置324;圖4之玻璃基板402、貫穿基板溝槽470以及第一半導體裝置422及第二半導體裝置424;或其任何組合。關於圖1至圖30揭示的實施或實例之一或多個態樣可在各個處理階段處包括於諸如程式庫檔案3012、GDSII檔案3026(例如具有GDSII格式之檔案)及GERBER檔案3052(例如具有GERBER格式之檔案)內,以及儲存在研究電腦3006之記憶體3010、設計電腦3014之記憶體3018、電腦3046之記憶體3050、在各個階段處(諸如在板組裝過程3054處)使用的一或多個其他電腦或處理器(未圖示)之記憶體處,且亦併入至一或多個其他實體實施(諸如遮罩3032、晶粒3036、封裝3040、PCA 3058、諸如原型電路或裝置(未圖示)之其他產品,或其任何組合)中。儘管描繪自實體裝置設計至最終產品之生產的各個代表性階段,但在其他實施中可使用較少階段或可包括額外階段。類似地,過程3000可藉由單一實體或藉由執行過程3000之各個階段的一或多個實體執行。
儘管圖1至圖30中之一或多者可說明根據本發明之教示內容的系統、裝置及/或方法,但本發明不限於此等說明之系統、裝置及/或方法。本發明之態樣、實例及/或實施可適當地用於包括包括記憶體、 處理器及晶片上電路的積體電路之任何裝置。
如本文中所說明或所描述的圖1至圖30中之任一者的一或多個功能或組件可與圖1至圖30之一或多個其他部分合併。因此,本文中所描述的單一態樣、實例及/或實施不應被視為限制性,且本發明之態樣、實例及/或實施可在不背離本發明之教示內容情況下經適當地組合。
熟習此項技術者將進一步瞭解結合本文所揭示之態樣、實例及/或實施描述的各種說明性邏輯區塊、組態、模組、電路及演算法步驟可經實施為電子硬體、由處理器執行之電腦軟體,或兩者的組合。上文大體在功能性方面描述各種說明性組件、區塊、組態、模組、電路及步驟。此功能性係實施為硬體抑或處理器可執行指令取決於特定應用及強加於整個系統的設計約束。對於每一特定應用而言,熟習此項技術者可以變化之方式實施所描述之功能性,但不應將該等實施決策解釋為導致脫離本發明之範疇。
結合本文所揭示之態樣、實例及/或實施描述的方法或演算法之步驟可直接體現在硬體中、在由處理器執行之軟體模組中,或在兩者之組合中。軟體模組可駐留在隨機存取記憶體(RAM)、快閃記憶體、唯讀記憶體(ROM)、可程式化唯讀記憶體(PROM)、可擦除可程式化唯讀記憶體(EPROM)、電可擦除可程式化唯讀記憶體(EEPROM)、暫存器、硬碟、抽取式磁碟、緊密光碟唯讀記憶體(CD-ROM)或此項技術中已知的任何其他形式的非暫時性儲存媒體中。例示性儲存媒體耦接至處理器,使得處理器可自儲存媒體讀取資訊且將資訊寫入至儲存媒體。在替代方案中,儲存媒體可整合至處理器。處理器及儲存媒體可駐留於特殊應用積體電路(ASIC)中。ASIC可駐留於計算裝置或使用者終端機中。在替代例中,處理器及儲存媒體可作為離散組件駐留於計算裝置或使用者終端機中。儲存裝置並非為信號。
所揭示態樣、實例及/或實施的先前描述經提供以使熟習此項技術者能夠製造或使用所揭示態樣、實例及/或實施。對此等態樣、實例及/或實施之各種修改對於熟習此項技術者而言將容易地顯而易見,且本文所定義之原理可在不背離本發明之範疇的情況下應用於其他態樣、實例及/或實施。因此,本發明並不意欲限於本文中展示之態樣、實例及/或實施而是符合與如由以下申請專利範圍所定義之原理及新穎特徵一致的可能的最廣泛範疇。

Claims (20)

  1. 一種製造一積體電路(IC)之方法,該方法包含:製造第一半導體裝置及第二半導體裝置,該第一半導體裝置具有藉由一半導電層之一第一部分之半導電材料連接的一第一源極區及一第一汲極區,且該第二半導體裝置具有藉由該半導電層之一第二部分之半導電材料連接的一第二源極區及一第二汲極區;將一玻璃基板耦接至該第一半導體裝置及該第二半導體裝置;及在將該玻璃基板耦接至該第一半導體裝置及該第二半導體裝置之後,移除該半導電層之部分,以使得該半導電層之該第一部分與該半導電層之該第二部分不連續。
  2. 如請求項1之方法,其中製造該第一半導體裝置及該第二半導體裝置包含:在一塊體矽晶圓上形成該第一半導體裝置之一第一絕緣層及該第二半導體裝置之一第二絕緣層;及形成在該第一絕緣層上的該第一半導體裝置之一第一閘極及在該第二絕緣層上的該第二半導體裝置之一第二閘極。
  3. 如請求項2之方法,其進一步包含使該塊體矽晶圓變薄以形成一變薄之塊體矽晶圓,其中該半導電層對應於該變薄之塊體矽晶圓。
  4. 如請求項1之方法,其中該半導電層對應於一磊晶晶圓之一磊晶層,且該方法進一步包含移除該磊晶晶圓之一塊體矽層。
  5. 如請求項4之方法,其中該玻璃基板經耦接以使得該第一半導體裝置之一閘極在該玻璃基板與該磊晶層之該第一部分之間。
  6. 如請求項1之方法,其中該玻璃基板係在製造該第一半導體裝置及該第二半導體裝置之後耦接至該第一半導體裝置及該第二半導體裝置。
  7. 一種積體電路(IC),其包含:一玻璃基板上之一第一半導體裝置,該第一半導體裝置包括一磊晶晶圓之一第一半導電區,該磊晶晶圓包括一第一表面及與該第一表面相對之一第二表面,該第二表面接近於該玻璃基板;該玻璃基板上之一第二半導體裝置,該第二半導體裝置包括該磊晶晶圓之一第二半導電區;及一貫穿基板溝槽,其在該第一半導電區與該第二半導電區之間,該貫穿基板溝槽包括超出該第一表面安置的一第一部分、超出該第二表面安置的一第二部分或兩者。
  8. 如請求項7之IC,其中該第一半導體裝置及該第二半導體裝置形成一射頻(RF)組件之至少一部分。
  9. 如請求項7之IC,其中該貫穿基板溝槽包括該第二部分,且其中該第二部分安置於該玻璃基板中。
  10. 如請求項7之IC,其中該第一半導體裝置包含一第一金屬氧化物半導體電晶體,且其中該第二半導體裝置包含一第二金屬氧化物半導體電晶體。
  11. 如請求項7之IC,其進一步包含接近於該第一半導體裝置及該第二半導體裝置之一層間介電質,其中該貫穿基板溝槽包括該第一部分,且其中該第一部分完全延伸穿過該層間介電質中。
  12. 如請求項7之IC,其進一步包含接近於該第一半導體裝置及該第二半導體裝置之一層間介電質,其中該貫穿基板溝槽包括該第一部分及該第二部分,其中該第一部分完全延伸穿過該層間介電質中,其中該貫穿基板溝槽完全延伸穿過該磊晶晶圓,且其中該第二部分延伸進入該玻璃基板中。
  13. 一種製造一積體電路(IC)之方法,該方法包含:形成一第一半導體裝置及一第二半導體裝置,該第一半導體裝置包括一塊體矽晶圓之一第一半導電區且該第二半導體裝置包括該塊體矽晶圓之一第二半導電區;使該塊體矽晶圓變薄以形成一變薄之塊體矽晶圓;及經由該變薄之塊體矽晶圓以形成一貫穿基板溝槽,該貫穿基板溝槽在該第一半導電區與該第二半導電區之間。
  14. 如請求項13之方法,其中形成該貫穿基板溝槽包括貫穿該變薄之塊體矽晶圓蝕刻一溝槽開口。
  15. 如請求項13之方法,其中形成該貫穿基板溝槽包括貫穿該變薄之塊體矽晶圓蝕刻一溝槽開口至接近於該變薄之塊體矽晶圓而定位的一層間介電層中。
  16. 如請求項13之方法,其進一步包含將一玻璃基板耦接至該變薄之塊體矽晶圓。
  17. 如請求項16之方法,其中該玻璃基板係在形成該貫穿基板溝槽之前耦接至該變薄之塊體矽晶圓。
  18. 如請求項16之方法,其中該玻璃基板係在形成該貫穿基板溝槽之後耦接至該變薄之塊體矽晶圓。
  19. 如請求項16之方法,其中形成該貫穿基板溝槽包含貫穿該變薄之塊體矽晶圓蝕刻一溝槽開口至該玻璃基板中。
  20. 如請求項13之方法,其進一步包含耦接至該第一半導體裝置及耦接至該第二半導體裝置之一或多個被動裝置。
TW105125659A 2015-09-22 2016-08-11 一玻璃基板上之積體電路 TWI633626B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/861,958 US9768109B2 (en) 2015-09-22 2015-09-22 Integrated circuits (ICS) on a glass substrate
US14/861,958 2015-09-22

Publications (2)

Publication Number Publication Date
TW201724364A TW201724364A (zh) 2017-07-01
TWI633626B true TWI633626B (zh) 2018-08-21

Family

ID=56738208

Family Applications (3)

Application Number Title Priority Date Filing Date
TW105125659A TWI633626B (zh) 2015-09-22 2016-08-11 一玻璃基板上之積體電路
TW107125079A TWI679731B (zh) 2015-09-22 2016-08-11 一玻璃基板上之積體電路
TW108142101A TWI735080B (zh) 2015-09-22 2016-08-11 一玻璃基板上之積體電路

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW107125079A TWI679731B (zh) 2015-09-22 2016-08-11 一玻璃基板上之積體電路
TW108142101A TWI735080B (zh) 2015-09-22 2016-08-11 一玻璃基板上之積體電路

Country Status (8)

Country Link
US (3) US9768109B2 (zh)
EP (1) EP3353807A1 (zh)
JP (1) JP2018532267A (zh)
KR (1) KR20180056739A (zh)
CN (1) CN108028257A (zh)
BR (1) BR112018005734A2 (zh)
TW (3) TWI633626B (zh)
WO (1) WO2017052774A1 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9768109B2 (en) 2015-09-22 2017-09-19 Qualcomm Incorporated Integrated circuits (ICS) on a glass substrate
US10446546B2 (en) * 2016-11-17 2019-10-15 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structures and methods of forming the same
KR102530338B1 (ko) * 2016-12-15 2023-05-08 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20180315708A1 (en) * 2017-05-01 2018-11-01 Globalfoundries Inc. Power rail and mol constructs for fdsoi
WO2019125497A1 (en) 2017-12-22 2019-06-27 Intel Corporation Interconnect structures for integrated circuits
US10580903B2 (en) 2018-03-13 2020-03-03 Psemi Corporation Semiconductor-on-insulator transistor with improved breakdown characteristics
US10573674B2 (en) 2018-07-19 2020-02-25 Psemi Corporation SLT integrated circuit capacitor structure and methods
US10658386B2 (en) * 2018-07-19 2020-05-19 Psemi Corporation Thermal extraction of single layer transfer integrated circuits
CN110767625B (zh) * 2018-07-25 2024-07-23 盛合晶微半导体(江阴)有限公司 一种rdl金属线的制造方法及结构
US20200043946A1 (en) * 2018-07-31 2020-02-06 Psemi Corporation Low Parasitic Capacitance RF Transistors
CN112970105A (zh) * 2018-09-07 2021-06-15 拉芳德利责任有限公司 具有低衬底损耗的集成螺旋电感器的制造方法
CN110112151B (zh) * 2019-05-24 2021-07-23 广东省半导体产业技术研究院 一种tft阵列制作方法与待转移tft器件结构
DE102020100001B4 (de) 2019-05-31 2022-05-25 Taiwan Semiconductor Manufacturing Co. Ltd. Integrierter Schaltkreis mit einer Mehrzahl von Speicherprüfstrukturen und Verfahren zu dessen Herstellung sowie Speicherprüfstruktur einer eingebetteten Speichervorrichtung
US11069695B2 (en) 2019-05-31 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Floating gate test structure for embedded memory device
US11004972B2 (en) * 2019-06-12 2021-05-11 Globalfoundries Singapore Pte. Ltd. Semiconductor device having conducting member for electrically coupling gate structure to underlying substrate of SOI structure
JP2021108317A (ja) * 2019-12-27 2021-07-29 イビデン株式会社 プリント配線板およびその製造方法
US11328950B2 (en) * 2020-01-22 2022-05-10 Corning Incorporated Thin glass or ceramic substrate for silicon-on-insulator technology
US11574893B2 (en) 2020-10-20 2023-02-07 Innolux Corporation Electronic device
US12040315B2 (en) 2020-10-20 2024-07-16 Innolux Corporation Electronic device
WO2023163103A1 (ja) * 2022-02-28 2023-08-31 株式会社村田製作所 半導体装置
TWI814545B (zh) * 2022-08-22 2023-09-01 華邦電子股份有限公司 半導體元件及其製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5399231A (en) * 1993-10-18 1995-03-21 Regents Of The University Of California Method of forming crystalline silicon devices on glass
US6891236B1 (en) * 1999-01-14 2005-05-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
TW201244012A (en) * 2011-01-31 2012-11-01 Renesas Electronics Corp Semiconductor device and manufacturing method thereof
TW201533913A (zh) * 2014-02-28 2015-09-01 Semiconductor Energy Lab 半導體裝置、具有該半導體裝置的顯示裝置、具有該顯示裝置的顯示模組以及具有該半導體裝置、該顯示裝置或該顯示模組的電子裝置

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970003848B1 (ko) * 1991-10-17 1997-03-22 미쓰비시덴키 가부시키가이샤 반도체 장치 및 그 제조방법
CN1196184C (zh) * 1992-07-06 2005-04-06 株式会社半导体能源研究所 半导体器件及其形成方法
JP3893645B2 (ja) 1996-03-18 2007-03-14 ソニー株式会社 薄膜半導体装置およびicカードの製造方法
JPH1079511A (ja) 1996-09-04 1998-03-24 Nippon Telegr & Teleph Corp <Ntt> 半導体装置及びその製法
TW473914B (en) 2000-01-12 2002-01-21 Ibm Buried metal body contact structure and method for fabricating SOI MOSFET devices
JP4676069B2 (ja) * 2001-02-07 2011-04-27 パナソニック株式会社 半導体装置の製造方法
JP4012411B2 (ja) * 2002-02-14 2007-11-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP3764401B2 (ja) 2002-04-18 2006-04-05 株式会社東芝 半導体装置の製造方法
US6867462B2 (en) * 2002-08-09 2005-03-15 Matsushita Electric Industrial Co., Ltd. Semiconductor device using an SOI substrate and having a trench isolation and method for fabricating the same
US7508034B2 (en) * 2002-09-25 2009-03-24 Sharp Kabushiki Kaisha Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device
JP4794810B2 (ja) 2003-03-20 2011-10-19 シャープ株式会社 半導体装置の製造方法
JP4554180B2 (ja) 2003-09-17 2010-09-29 ソニー株式会社 薄膜半導体デバイスの製造方法
JP4940533B2 (ja) 2003-12-12 2012-05-30 ソニー株式会社 半導体集積回路装置の製造方法
US7176072B2 (en) 2005-01-28 2007-02-13 Sharp Laboratories Of America, Inc Strained silicon devices transfer to glass for display applications
JP2007156009A (ja) * 2005-12-02 2007-06-21 Canon Inc 液晶表示装置
JP2008060313A (ja) 2006-08-31 2008-03-13 Sharp Corp 半導体装置およびその製造方法
KR100859481B1 (ko) * 2006-12-29 2008-09-23 동부일렉트로닉스 주식회사 씨모스 이미지 센서 및 그 제조방법
US7767542B2 (en) * 2007-04-20 2010-08-03 Semiconductor Energy Laboratory Co., Ltd Manufacturing method of SOI substrate
JP4905442B2 (ja) 2008-12-05 2012-03-28 ソニー株式会社 半導体装置およびその製造方法
US7897468B1 (en) * 2009-09-10 2011-03-01 International Business Machines Corporation Device having self-aligned double gate formed by backside engineering, and device having super-steep retrograded island
KR101645680B1 (ko) * 2009-11-06 2016-08-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
WO2011096265A1 (ja) 2010-02-04 2011-08-11 シャープ株式会社 転写方法および半導体装置の製造方法並びに半導体装置
US8525292B2 (en) * 2011-04-17 2013-09-03 International Business Machines Corporation SOI device with DTI and STI
US9496255B2 (en) * 2011-11-16 2016-11-15 Qualcomm Incorporated Stacked CMOS chipset having an insulating layer and a secondary layer and method of forming same
US20130214358A1 (en) 2012-02-17 2013-08-22 International Business Machines Corporation Low external resistance etsoi transistors
US9252147B2 (en) 2013-08-05 2016-02-02 Qualcomm Incorporated Methods and apparatuses for forming multiple radio frequency (RF) components associated with different RF bands on a chip
US9337078B2 (en) * 2013-09-11 2016-05-10 Globalfoundries Inc. Heat dissipation through device isolation
US9236380B2 (en) 2013-10-10 2016-01-12 Stmicroelectronics, Inc. Semiconductor-on-insulator (SOI) device and related methods for making same using non-oxidizing thermal treatment
US9768109B2 (en) 2015-09-22 2017-09-19 Qualcomm Incorporated Integrated circuits (ICS) on a glass substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5399231A (en) * 1993-10-18 1995-03-21 Regents Of The University Of California Method of forming crystalline silicon devices on glass
US6891236B1 (en) * 1999-01-14 2005-05-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
TW201244012A (en) * 2011-01-31 2012-11-01 Renesas Electronics Corp Semiconductor device and manufacturing method thereof
TW201533913A (zh) * 2014-02-28 2015-09-01 Semiconductor Energy Lab 半導體裝置、具有該半導體裝置的顯示裝置、具有該顯示裝置的顯示模組以及具有該半導體裝置、該顯示裝置或該顯示模組的電子裝置

Also Published As

Publication number Publication date
TWI735080B (zh) 2021-08-01
US10332911B2 (en) 2019-06-25
CN108028257A (zh) 2018-05-11
TW201724364A (zh) 2017-07-01
TW202004996A (zh) 2020-01-16
US20190259780A1 (en) 2019-08-22
US20170084531A1 (en) 2017-03-23
US9768109B2 (en) 2017-09-19
KR20180056739A (ko) 2018-05-29
JP2018532267A (ja) 2018-11-01
BR112018005734A2 (pt) 2018-10-09
US10903240B2 (en) 2021-01-26
TWI679731B (zh) 2019-12-11
TW201841305A (zh) 2018-11-16
WO2017052774A1 (en) 2017-03-30
US20170098663A1 (en) 2017-04-06
EP3353807A1 (en) 2018-08-01

Similar Documents

Publication Publication Date Title
TWI633626B (zh) 一玻璃基板上之積體電路
TWI656621B (zh) 垂直堆疊之奈米線場效電晶體
CN107431086B (zh) 鳍式半导体器件的自对准栅极和/或源极/漏极接触结构及其形成方法
US10439039B2 (en) Integrated circuits including a FinFET and a nanostructure FET
US9355936B2 (en) Flattened substrate surface for substrate bonding
US9871121B2 (en) Semiconductor device having a gap defined therein
CN106537600A (zh) 具有空隙加速击穿的mos反熔丝
CN105593985A (zh) 具有高迁移率沟道的半导体器件
US20160049487A1 (en) Device including cavity and self-aligned contact and method of fabricating the same
KR102212151B1 (ko) 안티퓨즈 구조, 안티퓨즈 비트 셀 구조, 안티퓨즈 구조를 제조하는 방법 및 모놀리식 안티퓨즈 비트 셀을 형성하는 방법
TW201626546A (zh) 具有非嵌入式場絕緣體及場絕緣體上之較薄電極的三閘電晶體結構
TWI556399B (zh) 具有導體回填之內嵌式熔絲
US10522687B2 (en) Wrap-around gate structures and methods of forming wrap-around gate structures
TWI556311B (zh) 用以剝除遮罩層之犧牲材料
US20230253322A1 (en) Nano-tsv landing over buried power rail