US10522687B2 - Wrap-around gate structures and methods of forming wrap-around gate structures - Google Patents

Wrap-around gate structures and methods of forming wrap-around gate structures Download PDF

Info

Publication number
US10522687B2
US10522687B2 US15/879,109 US201815879109A US10522687B2 US 10522687 B2 US10522687 B2 US 10522687B2 US 201815879109 A US201815879109 A US 201815879109A US 10522687 B2 US10522687 B2 US 10522687B2
Authority
US
United States
Prior art keywords
oxide layer
gate structure
semiconductor device
channel
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US15/879,109
Other versions
US20180233600A1 (en
Inventor
Ravi Pramod Kumar Vedula
Stephen Alan Fanelli
Farid AZZAZY
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Priority to US15/879,109 priority Critical patent/US10522687B2/en
Priority to PCT/US2018/015243 priority patent/WO2018151919A1/en
Priority to CN201880011916.3A priority patent/CN110301049A/en
Priority to EP18705040.6A priority patent/EP3583629A1/en
Priority to TW107102874A priority patent/TW201842553A/en
Assigned to QUALCOMM INCORPORATED reassignment QUALCOMM INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AZZAZY, Farid, FANELLI, STEPHEN ALAN, VEDULA, Ravi Pramod Kumar
Publication of US20180233600A1 publication Critical patent/US20180233600A1/en
Application granted granted Critical
Publication of US10522687B2 publication Critical patent/US10522687B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/124Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or layout of the wiring layers specially adapted to the circuit arrangement, e.g. scanning lines in LCD pixel circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support

Definitions

  • the present disclosure is generally related to semiconductor gate structures.
  • wireless telephones such as mobile and smart phones, tablets and laptop computers that are small, lightweight, and easily carried by users.
  • These devices can communicate voice and data packets over wireless networks.
  • many such devices incorporate additional functionality such as a digital still camera, a digital video camera, a digital recorder, and an audio file player.
  • such devices can process executable instructions, including software applications, such as a web browser application, that can be used to access the Internet. As such, these devices can include significant computing capabilities.
  • These devices may include integrated circuits (ICs) that include a gate and a channel structure.
  • the gate may be proximate to a single side of the channel structure. Having the gate on only a single side of the channel structure may limit drive currents (saturation current) and current conductance of the ICs, and may allow significant leakage currents through the ICs.
  • a semiconductor device in a particular aspect, includes a channel structure that includes a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer.
  • the semiconductor device includes a first gate structure proximate to at least three sides of the channel structure.
  • the semiconductor device includes a second gate structure proximate to at least a fourth side of the channel structure.
  • a method in a particular aspect, includes forming a first gate structure on at least three sides of a channel structure that includes a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer. The method additionally includes forming a second gate structure on a fourth side of the channel structure.
  • a semiconductor device in a particular aspect, includes a channel structure that includes a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer.
  • the semiconductor device includes a first gate structure on at least a first side of the channel structure.
  • the semiconductor device includes a second gate structure on at least a second side of the channel structure and in contact with the first gate structure. Together, the first gate structure and the second gate structure two-dimensionally surround at least a portion of the channel structure.
  • a method in a particular aspect, includes forming a first gate structure on at least a first side of a channel structure that includes a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer.
  • the method includes forming a second gate structure on at least a second side of the channel structure and in contact with the first gate structure such that together the first gate structure and the second gate structure two-dimensionally surround at least a portion of the channel structure.
  • a non-transitory computer-readable medium including processor-executable instructions that, when executed by a processor, cause the processor to initiate fabrication of an integrated circuit device.
  • the integrated circuit is fabricated by forming a first gate structure on at least three sides of a channel structure including a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer.
  • the integrated circuit is further fabricated by forming a second gate structure on a fourth side of the channel structure.
  • a non-transitory computer-readable medium including processor-executable instructions that, when executed by a processor, cause the processor to initiate fabrication of an integrated circuit device.
  • the integrated circuit device is fabricated by forming a first gate structure on at least a first side of a channel structure that includes a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer.
  • the integrated circuit is further fabricated by forming a second gate structure on at least a second side of the channel structure and in contact with the first gate structure such that together the first gate structure and the second gate structure two-dimensionally surround at least a portion of the channel structure.
  • FIG. 1A illustrates a cross-sectional view (along line A of FIG. 1B ) of semiconductor device including first and second gate structures that, together, two-dimensionally surround a channel structure;
  • FIG. 1B illustrates a top view of the semiconductor device of FIG. 1A ;
  • FIG. 1C illustrates a block diagram of aspects of the semiconductor device of FIG. 1A ;
  • FIG. 2A illustrates a cross-sectional view (along line A of FIG. 2B ) of a semiconductor device including first and second gate structures;
  • FIG. 2B illustrates a top view of the semiconductor device of FIG. 2A ;
  • FIG. 2C illustrates a block diagram of aspects of the semiconductor device of FIG. 2A ;
  • FIG. 3A illustrates a cross-sectional view (along line A of FIG. 3B ) of an example of a first stage during fabrication of the semiconductor device of FIGS. 1A, 1B , and 1 C;
  • FIG. 3B illustrates a top view of an example of the first stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C ;
  • FIG. 4A illustrates a cross-sectional view (along line A of FIG. 4B ) of an example of a second stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C ;
  • FIG. 4B illustrates a top view of an example of the second stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C ;
  • FIG. 5A illustrates a cross-sectional view (along line A of FIG. 5B ) of an example of a third stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C ;
  • FIG. 5B illustrates a top view of an example of the third stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C ;
  • FIG. 6A illustrates a cross-sectional view (along line A of FIG. 6B ) of an example of a fourth stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C ;
  • FIG. 6B illustrates a top view of an example of the fourth stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C ;
  • FIG. 7A illustrates a cross-sectional view (along line A of FIG. 7B ) of an example of a fifth stage during fabrication of the semiconductor device of FIGS. 1A, 1B , and 1 C;
  • FIG. 7B illustrates a top view of an example of the fifth stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C ;
  • FIG. 8A illustrates a cross-sectional view (along line A of FIG. 8B ) of an example of a sixth stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C ;
  • FIG. 8B illustrates a top view of an example of the sixth stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C ;
  • FIG. 9A illustrates a cross-sectional view (along line A of FIG. 9B ) of an example of a first stage during fabrication of the semiconductor device of FIGS. 2A, 2B , and 2 C;
  • FIG. 9B illustrates a top view of an example of the first stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C ;
  • FIG. 10A illustrates a cross-sectional view (along line A of FIG. 10B ) of an example of a second stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C ;
  • FIG. 10B illustrates a top view of an example of the second stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C ;
  • FIG. 11A illustrates a cross-sectional view (along line A of FIG. 11B ) of an example of a third stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C ;
  • FIG. 11B illustrates a top view of an example of the third stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C ;
  • FIG. 12A illustrates a cross-sectional view (along line A of FIG. 12B ) of an example of a fourth stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C ;
  • FIG. 12B illustrates a top view of an example of the fourth stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C ;
  • FIG. 13A illustrates a cross-sectional view (along line A of FIG. 13B ) of an example of a fifth stage during fabrication of the semiconductor device of FIGS. 2A, 2B , and 2 C;
  • FIG. 13B illustrates a top view of an example of the fifth stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C ;
  • FIG. 14 is a flow chart of a particular illustrative example of a method of forming the semiconductor device of FIGS. 1A, 1B, and 1C or the semiconductor device of FIGS. 2A, 2B, and 2C ;
  • FIG. 15 is a flow chart of a particular illustrative example of a method of forming the semiconductor device of FIGS. 1A, 1B, and 1C ;
  • FIG. 16 is a block diagram of portable device including the semiconductor device of FIGS. 1A, 1B, and 1C , or the semiconductor device of FIGS. 2A, 2B, and 2C ;
  • FIG. 17 is a data flow diagram of a particular illustrative example of a manufacturing process to manufacture electronic devices that include the semiconductor device of FIGS. 1A, 1B, and 1C or the semiconductor device of FIGS. 2A, 2B, and 2C .
  • Semiconductor devices described herein include a gate-all-around structure proximate to a channel structure (that includes a channel region) that has a cross-section that includes multiple sides (e.g., four sides).
  • the gate-all-around structure includes portions proximate to (e.g., on, covering, adjacent to, etc.) the multiple sides (e.g., proximate to the four sides) of the channel structure, enabling the gate-all-around structure to control the channel region from the multiple sides (e.g., from the four sides) of the channel structure.
  • Controlling the channel region from the multiple sides may enable higher driver current and current conductance, and may limit leakage current through the semiconductor device that includes the gate-all-around structure, as compared to gate structures that control the channel region at a single side of the channel structure or at fewer than all sides of a cross-section of the channel structure.
  • the gate-all-around structure is formed of two independently controllable gate structures, which may enable the gate structure to independently bias the channel from different sides using the independently controllable gate structures.
  • methods of forming a semiconductor device including the gate-all-around structure may be performed using a layer transfer process.
  • FIGS. 1A, 1B, and 1C illustrate views of an example of a semiconductor device (e.g., an integrated circuit (IC) or a portion of an IC) 100 that includes a first gate structure 102 and a second gate structure 104 that is in contact with the first gate structure 102 .
  • the semiconductor device 100 may correspond to a transistor (or a portion of a transistor).
  • the semiconductor device 100 may be implemented as a transistor in a radio frequency (RF) complementary metal-oxide semiconductor (CMOS).
  • RF radio frequency
  • CMOS complementary metal-oxide semiconductor
  • the semiconductor device 100 may be formed as described in more detail below with reference to first, second, third, fourth, fifth, and sixth fabrication stages illustrated in FIGS. 3A and 3B, 4A and 4B, 5A and 5B, 6A and 6B, 7A and 7B, and 8A and 8B , respectively.
  • the first and second gate structures 102 and 104 may include conductive material arranged around a channel structure 105 .
  • the first gate structure 102 may be formed of or include a metal or a polysilicon material.
  • the second gate structure 104 may be formed of the same material as the first gate structure 102 or may be formed of a different material than the first gate structure 102 .
  • the second gate structure 104 corresponds to a lower metal 1 layer.
  • the second gate structure 104 may be formed of or may include copper, aluminum (Al), any other conductive metal/alloy.
  • the semiconductor device 100 additionally includes the channel structure 105 .
  • the channel structure 105 includes a first oxide layer 108 , a second oxide layer 110 , and a channel region 106 between the first oxide layer 108 and the second oxide layer 110 .
  • the channel region 106 may be formed of a portion of a semiconductive layer 107 of a silicon on insulator (SOI) wafer.
  • SOI silicon on insulator
  • the portion of the semiconductive layer of the SOI wafer may correspond to a portion of the semiconductive layer 107 located between first and second trenches formed in the semiconductive layer 107 as described in more detail below with reference to the first and second trenches 302 and 304 of FIGS. 3A and 3B .
  • the second oxide layer 110 may correspond to a portion of a buried oxide layer (of the SOI) that has been thinned subsequent to removal of a handle material 306 of FIG. 3A (e.g., a handle wafer) of the SOI that is coupled to the buried oxide layer.
  • a buried oxide layer 309 of FIGS. 6A and 6 B may be thinned to create a thinned buried oxide layer 709 of FIGS. 7A and 7B , and a portion of the thinned buried oxide layer 709 may correspond to the second oxide layer 110 .
  • the first oxide layer 108 may have a first thickness.
  • a portion of the first oxide layer 108 may have the first thickness.
  • the second oxide layer 110 may have a second thickness.
  • the first thickness may be the same as or distinct from the second thickness.
  • the second oxide layer 110 may be thinner than the first oxide layer 108 (or a portion of the first oxide layer 108 ).
  • the first oxide layer 108 may be formed by an oxidation process at portions of the semiconductive layer 107 of the SOI that are exposed in response to etching of the first and second trenches in the semiconductive layer 107 and the buried oxide layer. For example, as described in more detail below with reference to FIG. 3A , subsequent to etching the first and second semiconductor trenches 302 and 304 , thermal oxidation may be performed, resulting in the first oxide layer 108 . As described in more detail below with reference to FIGS. 3A and 3B and FIGS. 4A and 4B , the first gate structure 102 is formed by depositing material of the first gate structure 102 in the first and second trenches 302 and 304 of FIGS. 3A and 3B .
  • the first oxide layer 108 may include a first (e.g., a bottom) side (e.g., side 108 a of FIG. 3A ) formed on a side of the semiconductive layer 107 (e.g., on a side of the channel region 106 ) that is opposite the side of the semiconductive layer 107 that is in contact with the thinned buried oxide layer 709 .
  • the first oxide layer 108 may include second and third sides (e.g., sides 108 b and 108 c , respectively, of FIG. 3A ) that are formed on exposed portions of the semiconductive layer 107 in response to an oxidation process (e.g., a thermal oxidation process) as described in more detail below with reference to FIGS. 3A and 3B .
  • an oxidation process e.g., a thermal oxidation process
  • the first gate structure 102 is located proximate to (e.g., on, in contact with, adjacent to, etc.) at least three sides of the channel structure 105 .
  • a first side 105 a of the channel structure 105 may correspond to the first (e.g., e.g., bottom) side of the first oxide layer 108
  • a second side 105 b of the channel structure 105 may correspond to the second side of the first oxide layer 108
  • a third side 105 c of the channel structure 105 may correspond to the third side of the first oxide layer 108 .
  • the first gate structure 102 may be proximate to the first, second, and third sides 105 a , 105 b , and 105 c of the channel structure 105 by being in contact with one or more portions of each of the first, second, and third sides of the first oxide layer 108 .
  • the first gate structure 102 may include one or more portions proximate to (e.g., on, in contact with, adjacent to, etc.) the second oxide layer 110 .
  • the first gate structure 102 is proximate to the second and third sides 105 b and 105 c of the channel structure 105 and may be proximate to the second oxide layer 110 .
  • the second gate structure 104 is located proximate to (e.g., on, in contact with, adjacent to, etc.) a fourth side 105 d of the channel structure 105 .
  • the fourth side 105 d of the channel structure 105 may be opposite of the first side 105 a of the channel structure 105 .
  • first gate structure 102 and the second gate structure 104 form a wrap-around gate structure 120 (e.g., a gate-all-around structure).
  • the wrap-around gate structure 120 may two-dimensionally surround the channel structure 105 .
  • the first gate structure 102 and the second gate structure 104 enclose sides 105 a , 105 b , 105 c , and 105 d (e.g., all peripheral sides) of the cross-section of the channel structure 105 illustrated in FIG. 1A .
  • the semiconductor device 100 includes metallization (e.g., contacts and interconnects) and a dielectric portion (e.g., an inter-layer dielectric layer) 150 .
  • the semiconductor device may include first metallization 112 (e.g., first contacts and/or interconnects) to contact the first gate structure 102 at a first location of the first gate structure 102 .
  • the semiconductor device 100 may include second metallization 114 (e.g., second contacts and/or interconnects) to contact the first gate structure 102 at a second location of the first gate structure 102 .
  • the semiconductor device 100 may include a source region 122 and a drain region 124 .
  • the source region 122 and the drain region 124 may be formed in the semiconductive layer 107 (into the plane of paper upon which the illustrated example is depicted).
  • a channel may form in the channel region 106 between the source region 122 and the drain region 124 .
  • the wrap-around gate structure 120 is proximate to (e.g., is on, covers, is adjacent to, etc.) all four sides of the periphery of the cross-section of the channel structure 105 illustrated in FIG. 1A , enabling the wrap-around gate structure 120 to control the channel region 106 from multiple sides (e.g., from all four sides of the periphery of the cross-section) of the channel structure 105 .
  • Controlling the channel region 106 from the multiple sides may enable higher driver current and current conductance, and may limit leakage current through the semiconductor device 100 , as compared to controlling the channel region 106 from a single side of the channel structure 105 or at fewer than all sides of a periphery of a cross-section of the channel structure 105 .
  • FIGS. 2A, 2B, and 2C illustrate views of an example of semiconductor device (e.g., an IC or a portion of an IC) 200 that includes a first gate structure 202 and a second gate structure 204 associated with a channel structure 205 .
  • the semiconductor device 200 may correspond to a transistor (or a portion of a transistor).
  • the semiconductor device 200 may be implemented as a transistor in a radio frequency (RF) complementary metal-oxide semiconductor (CMOS).
  • RF radio frequency
  • CMOS complementary metal-oxide semiconductor
  • the semiconductor device 200 may be formed as described in more detail below with reference to first, second, third, fourth, and fifth fabrication stages illustrated in FIGS. 9A and 9B, 10A and 10B, 11A and 11B, 12A and 12B, and 13A and 13B , respectively,
  • the first and second gate structures 202 and 204 may be formed of conductive material.
  • the first gate structure 202 may be formed of a metal or a polysilicon material.
  • the second gate structure 204 may be formed of the same material as the first gate structure 202 or may be formed of a different material than the first gate structure 202 .
  • the second gate structure 204 corresponds to a lower metal 1 layer.
  • the second gate structure 204 may be formed of or may include copper, aluminum (Al), or any other conductive metal/alloy.
  • the semiconductor device 200 additionally includes the channel structure 205 .
  • the channel structure 205 includes a first oxide layer 208 , a second oxide layer 210 , and a channel region 206 between the first oxide layer 208 and the second oxide layer 210 .
  • the channel region 206 may be formed of a portion of a semiconductive layer 207 of a SOI wafer.
  • the portion of the semiconductive layer 207 of the SOI wafer may correspond to a portion of the semiconductive layer 207 located between first and second trenches formed in the semiconductive layer 207 as described in more detail below with reference to the first and second trenches 902 and 904 of FIGS. 9A and 9B .
  • the second oxide layer 210 may correspond to a portion of a buried oxide layer (of the SOI) between first and second trenches formed in a semiconductive layer and the buried oxide layer of the SOI.
  • a portion of the buried oxide layer 209 between the first and second trenches 902 and 904 of FIGS. 9A and 9B may correspond to the second oxide layer 210 .
  • the first oxide layer 208 may have a first thickness.
  • a portion of the first oxide layer 208 may have the first thickness.
  • the second oxide layer 210 may have a second thickness.
  • the first thickness may be the same as or distinct from the second thickness.
  • the second oxide layer 210 may be thicker than the first oxide layer 208 (or a portion of the first oxide layer 208 ).
  • the first oxide layer 108 may include a first (e.g., a bottom) side (e.g., side 108 a of FIG. 3A ) formed on a side of the semiconductive layer 107 (e.g., on a side of the channel region 106 ) that is opposite the side of the semiconductive layer 107 that is in contact with the thinned buried oxide layer 709 .
  • the first oxide layer 108 may include second and third sides (e.g., sides 108 b and 108 c , respectively, of FIG. 3A ) that are formed on exposed portions of the semiconductive layer 107 in response to an oxidation process (e.g., a thermal oxidation process) as described in more detail below with reference to FIGS. 3A and 3B .
  • an oxidation process e.g., a thermal oxidation process
  • the first oxide layer 208 may be formed by an oxidation process at portions of the semiconductive layer 207 of the SOI that are exposed in response to etching of the first and second trenches in the semiconductive layer 107 and the buried oxide layer. For example, as described in more detail below with reference to FIGS. 9A and 9B , subsequent to etching the first and second trenches 902 and 904 , thermal oxidation may be performed, resulting in the first oxide layer 208 . As described in more detail below with reference to FIGS. 10A and 10B , the first gate structure 202 is formed by depositing material of the first gate structure 202 in the first and second trenches 902 and 904 of FIGS. 9A and 9B .
  • the first oxide layer 208 may include a first (e.g., a bottom) side (e.g., side 208 a of FIG. 9A ) formed on a side of the semiconductive layer 207 (e.g., on a side of the channel region 206 ) that is opposite the side of the semiconductive layer 207 that is in contact with the buried oxide layer 209 .
  • the first oxide layer 208 may include second and third sides (e.g., sides 208 b and 208 c , respectively, of FIG. 9A ) that are formed on exposed portions of the semiconductive layer 207 in response to an oxidation process (e.g., a thermal oxidation process) as described in more detail below with reference to FIGS. 9A and 9B .
  • an oxidation process e.g., a thermal oxidation process
  • the first gate structure 202 is located proximate to (e.g., on, in contact with, adjacent to, etc.) at least three sides of the channel structure 205 .
  • a first side 205 a of the channel structure 205 may correspond to a first (e.g., e.g., bottom) side of the first oxide layer 208
  • a second side 205 b of the channel structure 205 may correspond to the second side of the first oxide layer 208
  • a third side 205 c of the channel structure 205 may correspond to the third side of the first oxide layer 208 .
  • the first gate structure 202 may be proximate to the first, second, and third sides 205 a , 205 b , and 205 c , respectively, of the channel structure 205 by being in contact with one or more portions of each of the first, second, and third sides of the first oxide layer 208 .
  • the first gate structure 202 may include one or more portions proximate to (e.g., on, in contact with, adjacent to, etc.) the second oxide layer 210 .
  • the first gate structure 202 may be proximate to the second and third sides 205 b and 205 c of the channel structure 205 at least in part by being in contact with sides of the second oxide layer 210
  • the second gate structure 204 is located proximate to (e.g., on, in contact with, adjacent to, etc.) a fourth side 205 d of the channel structure 205 .
  • the fourth side 205 d of the channel structure 205 may be opposite of the first side 205 a of the channel structure 205 .
  • the semiconductor device 200 may additionally include a first portion 906 a of a handle material (e.g., the handle material 906 of FIGS. 9A and 9B ) and a second portion 906 b of a handle material that have been doped as described in more detail below with reference to FIGS. 9A and 9B . Together, the first gate structure 202 and the second gate structure 204 form a wrap-around gate structure 220 .
  • the semiconductor device 200 may additionally include a third oxide layer 232 and a fourth oxide layer 234 .
  • the third oxide layer 232 and the fourth oxide layer 234 may be formed on the first portion 906 a and the second portion 906 b of the handle material in response to exposing portions of the handle material defining portions (e.g., bottom portions of) the first and second trenches as described below in more detail with reference to FIGS. 9A and 9B .
  • the third oxide layer 232 and the fourth oxide layer 234 may be between the second gate structure 204 and the first gate structure 202 .
  • the first gate structure 202 is not in contact with the second gate structure 204 , which enables independent biasing of the first gate structure 202 and the second gate structure 204 .
  • the semiconductor device 200 includes metallization (e.g., contacts and interconnects) and a dielectric portion (e.g., an inter-layer dielectric layer) 250 .
  • the semiconductor device 200 may include first metallization 212 (e.g., first contacts and/or interconnects) to contact the first gate structure 202 at a first location of the first gate structure 202 .
  • the semiconductor device 200 may include second metallization 214 (e.g., second contacts and/or interconnects) to contact the first gate structure 202 at a second location of the first gate structure 202 .
  • the semiconductor device may additionally include third metallization [not illustrated] coupled to the second gate structure 204 to enable the second gate structure 204 to be biased independently of the first gate structure 202 .
  • the semiconductor device 200 may include a source region 222 and a drain region 224 .
  • the source region 222 and the drain region 224 may be formed in the semiconductive layer 207 .
  • a channel may form in the channel region 206 between the source region 222 and the drain region 224 .
  • the wrap-around gate structure 220 is proximate to (e.g., is on, covers, is adjacent to, etc.) all four sides of the periphery of the cross-section of the channel structure 205 illustrated in FIG. 2A , enabling the wrap-around gate structure 220 to control the channel region 206 from multiple sides (e.g., from all four sides of the periphery of the cross-section) of the channel structure 205 .
  • Controlling the channel region 206 from the multiple sides may enable higher drive current and current conductance, and may limit leakage current through the semiconductor device 200 , as compared to controlling the channel region 206 from a single side of the channel structure 205 or at fewer than all sides of a periphery of a cross-section of the channel structure 205 .
  • the separation between the first gate structure 202 and the second gate structure 204 enables independent biasing of the channel region 206 during operation (e.g., enables the first gate structure 202 to apply a bias to the channel region independently of whether the second gate structure 204 applies a bias to the channel region, and vice versa).
  • FIGS. 3A and 3B illustrate an example of a first stage during fabrication of the semiconductor device 100 of FIGS. 1A, 1B, and 1C .
  • the first stage of FIGS. 3A and 3B may include forming first and second trenches 302 and 304 in the semiconductive layer 107 and the buried oxide layer 309 .
  • the handle material 306 e.g., of a handle wafer of an SOD
  • the semiconductive layer 107 and the buried oxide layer 309 e.g., prior to forming the first and second trenches 302 and 304
  • the semiconductive layer 107 may include a layer of monocrystalline silicon.
  • the buried oxide layer 309 may be adjacent to the layer of monocrystalline silicon.
  • the first and second trenches 302 and 304 may be formed by performing one or more etches. For example, a first etching process may be performed to form the portions of the first and second trenches 302 and 304 in the semiconductive layer 107 and a second etching process may be performed to form the portions of the first and second trenches 302 and 304 in the buried oxide layer 309 .
  • the semiconductor device 100 may include a portion 310 of the buried oxide layer 309 that is between the first and second trenches 302 and 304 .
  • the first stage during fabrication of the semiconductor device 100 may additionally include performing an oxidation process, such as thermal oxidation.
  • a thermal oxidation process may be performed subsequent to forming the first and second trenches 302 and 304 , and an oxide may form on the semiconductive layer 107 in response to exposing material of the semiconductive layer 107 to oxygen at a high temperature (e.g., approximately 1150 degrees Celsius).
  • a high temperature e.g., approximately 1150 degrees Celsius
  • the first oxide layer 108 may form on the portion of the semiconductive layer 107 that is between the first and second trenches 302 and 304 , resulting in the first oxide layer 108 described above with reference to FIGS. 1A, 1B , and 1 C.
  • the first oxide layer 108 may include a first side 108 a , a second side 108 b , and a third side 108 c as described above with reference to FIGS. 1A, 1B, and 1C .
  • the first side 108 a , the second side 108 b , and the third side 108 c may form a portion of the channel structure 105 of FIGS. 1A, 1B, and 1C .
  • an oxide may form in response to exposing portions of the handle material 306 to oxygen at high temperature during the thermal oxidation process.
  • the third oxide layer 332 and the fourth oxide layer 334 may form in response to exposing portions of the handle material 306 that define (e.g., that define a bottom portion of) the first and second trenches 302 and 304 to oxygen at a high temperature.
  • FIGS. 4A and 4B illustrate an example of a second stage during fabrication of the semiconductor device 100 of FIGS. 1A, 1B, and 1C .
  • the second stage may be subsequent to the first stage.
  • the second stage includes forming the first gate structure 102 .
  • Forming the first gate structure 102 includes depositing material of the first gate structure 102 in the first trench 302 and in the second trench 304 .
  • Forming the first gate structure 102 also includes depositing the material of the first gate structure 102 on the first side 108 a of the first oxide layer 108 .
  • the first oxide layer 108 may be between portions of the first gate structure 102 and the portion of the semiconductive layer 107 between the first and second trenches 302 and 304 (e.g., may be between the first gate structure 102 and the channel region 106 ). Thus, the first gate structure 102 may not contact the channel region 106 .
  • the first oxide layer 108 serves as a gate oxide between portions of the first gate structure 102 and the channel region 106 .
  • the portion 310 may be between portions of the first gate structure 102 and the channel region 106 . In conjunction, the first oxide layer 108 and the portion 310 may two-dimensionally surround the channel region 106 .
  • FIGS. 5A and 5B illustrate an example of a third stage during fabrication of the semiconductor device 100 of FIGS. 1A, 1B, and 1C .
  • the third stage may be subsequent to the second stage.
  • the third stage includes forming the first metallization 112 , the second metallization 114 , or both.
  • the first metallization 112 and the second metallization 114 may be formed of or include conductive material, such as a metal. In some examples, additional or different metallization than the first metallization 112 and the second metallization 114 is formed.
  • the third stage also includes forming a dielectric portion 150 .
  • the dielectric portion 150 may be formed of dielectric material, such as silicon dioxide.
  • the dielectric portion 150 is formed of a polymer, such as a polyimide.
  • the dielectric material may be formed using a deposition technique, such as chemical vapor deposition (CVD).
  • the third stage also includes performing a layer transfer process to couple a carrier wafer 502 to the first gate structure 102 subsequent to forming the metallization (e.g., the first metallization 112 , the second metallization 114 , or both) and the dielectric portion 150 .
  • the carrier wafer 502 may be coupled to the first gate structure 102 such that the semiconductive layer 107 is between the buried oxide layer 309 and the carrier wafer 502 .
  • the wafer may be flipped and bonded or adhered to the carrier wafer 502 .
  • the carrier wafer 502 may correspond to a CMOS wafer.
  • the carrier wafer 502 is formed of or includes glass.
  • FIGS. 6A and 6B illustrate an example of a fourth stage during fabrication of the semiconductor device 100 of FIGS. 1A, 1B, and 1C .
  • the fourth stage may be subsequent to the third stage.
  • the fourth stage includes removing the handle material 306 . Removing the handle material 306 may expose the portion 310 of the buried oxide layer 309 .
  • the handle material 306 may be removed using an etching process, a grinding process, or both.
  • FIGS. 7A and 7B illustrate an example of a fifth stage during fabrication of the semiconductor device 100 of FIGS. 1A, 1B, and 1C .
  • the fifth stage may be subsequent to the fourth stage.
  • the fifth stage includes removing the third and fourth oxide layers 332 and 334 and thinning the buried oxide layer 309 of FIGS. 6A and 6B to generate a thinned buried oxide layer 709 .
  • the third and fourth oxide layers 332 and 334 may be removed, and the buried oxide layer 309 of FIGS. 6A and 6B may be thinned, using an etching process, a grinding process, or both.
  • the buried oxide layer 309 may be thinned from about twenty nanometers to about eight to ten nanometers (or to as thin as process limits allow).
  • the thinned buried oxide layer 709 may be used as a gate oxide layer between the second gate structure 104 and the channel region 106 . Using the thinned buried oxide layer 709 as a gate oxide may enable the second gate structure 104 to exert greater control or influence on the channel region 106 as opposed to using the buried oxide layer 309 as the oxide layer.
  • the thinned buried oxide layer 709 may include the second oxide layer 110 .
  • the second oxide layer 110 is located between opposing sides of the first gate structure 102 .
  • the second oxide layer 110 , the channel region 106 , and the first oxide layer 108 together form the channel structure 105 of FIG. 1 .
  • FIGS. 8A and 8B illustrate an example of a sixth stage during fabrication of the semiconductor device 100 of FIGS. 1A, 1B, and 1C .
  • the sixth stage may be subsequent to the fifth stage.
  • the sixth stage includes forming the second gate structure 104 .
  • the second gate structure 104 may be formed by depositing material of the second gate structure 104 on a portion (e.g., on the second oxide layer 110 ) of the thinned buried oxide layer 709 and on one or more portions of the first gate structure 102 .
  • the first gate structure 102 is in contact with the second gate structure 104 .
  • a size of the exposed portion of the first gate structure 102 is scaled according to a length of the channel to aid in alignment of the first gate structure 102 with the second gate structure 104 .
  • the first gate structure 102 and the second gate structure 104 two-dimensionally surround the channel structure 105 .
  • the second oxide layer 110 may be located between the second gate structure 104 and the channel region 106 and may serve as a gate oxide for the second gate structure 104 during operation of the semiconductor device 100 of FIGS. 1A, 1B, and 1C .
  • the seventh stage may be performed subsequent to the sixth stage.
  • the seventh stage may include forming a passivation layer 160 .
  • the passivation layer 160 may be formed using a deposition process, such as CVD.
  • a trench 162 may be formed in the passivation layer 160 and a bond pad [not illustrated] may be formed in the trench 162 .
  • the seventh stage may include removing the carrier wafer 502 of FIGS. 8A and 8B .
  • FIGS. 9A and 9B illustrate an example of a first stage during fabrication of the semiconductor device 200 of FIGS. 2A, 2B, and 2C .
  • the first stage of FIGS. 9A and 9B may include doping the handle material 906 to form the portions (or regions) 906 a and 906 b .
  • the handle material 906 may be doped to form the portions 906 a and 906 b using an ion-implementation technique.
  • the ion-implementation technique may dope the portions 906 a and 906 b with a semiconductor dopant, such as boron, arsenic, or phosphorous.
  • the first stage of FIGS. 9A and 9B may include forming first and second trenches 902 and 904 in the semiconductive layer 207 and the buried oxide layer 209 .
  • the semiconductive layer 207 and the buried oxide layer 209 may correspond to or form an SOI wafer.
  • the semiconductive layer 207 may include a layer of monocrystalline silicon.
  • the buried oxide layer 209 may be adjacent to the layer of monocrystalline silicon.
  • the first and second trenches 902 and 904 may be formed by performing one or more etches.
  • a first etching process may be performed to form the portions of the first and second trenches 902 and 904 in the semiconductive layer 207 and a second etching process may be performed to form the portions of the first and second trenches 902 and 904 in the buried oxide layer 209 .
  • the first stage during fabrication of the semiconductor device 200 may additionally include performing an oxidation process, such as thermal oxidation.
  • a thermal oxidation process may be performed subsequent to forming the first and second trenches 902 and 904 , and an oxide may form on the semiconductive layer 207 in response to exposing material of the semiconductive layer 207 to oxygen at a high temperature (e.g., approximately 1150 degrees Celsius).
  • a high temperature e.g., approximately 1150 degrees Celsius
  • the first oxide layer 208 may form on the portion of the semiconductive layer 207 that is between the first and second trenches 902 and 904 , resulting in the first oxide layer 208 described above with reference to FIGS. 1A, 1B , and 1 C.
  • the first oxide layer 208 may include a first side 208 a , a second side 208 b , and a third side 208 c .
  • the first side 208 a , the second side 208 b , and the third side 208 c may form a portion of the channel structure 205 of FIGS. 2A, 3B, and 2C .
  • an oxide may form in response to exposing portions of the handle material 906 to oxygen at a high temperature during the thermal oxidation process.
  • the third oxide layer 232 and the fourth oxide layer 234 may form in response to exposing portions of the handle material 906 that define (e.g., a bottom portion of) the first and second trenches 902 and 904 to oxygen at a high temperature.
  • the third oxide layer 232 and the fourth oxide layer 234 may be formed proximate to (e.g., on) the portions 906 a and 906 b , respectively.
  • FIGS. 10A and 10B illustrate an example of a second stage during fabrication of the semiconductor device 200 of FIGS. 2A, 2B, and 2C .
  • the second stage may be subsequent to the first stage.
  • the second stage includes forming the first gate structure 202 .
  • Forming the first gate structure 202 includes depositing material of the first gate structure 202 in the first trench 902 and in the second trench 904 .
  • Forming the first gate structure 202 also includes depositing the material of the first gate structure 202 on the first side 208 a of the first oxide layer 208 .
  • the first oxide layer 208 may be between portions of the first gate structure 202 and the portion of the semiconductive layer 207 between the first and second trenches 902 and 904 (e.g., may be between the first gate structure 202 and the channel region 206 ). Thus, the first gate structure 202 may not contact the channel region 206 . In some examples, the first oxide layer 208 serves as a gate oxide between portions of the first contact structure and the channel region 206 . Additionally, the second oxide layer 210 may be between portions of the first gate structure 202 and the channel region 206 . In conjunction, the first oxide layer 208 and the second oxide layer 210 may two-dimensionally surround the channel region 206 .
  • FIGS. 11A and 11B illustrate an example of a third stage during fabrication of the semiconductor device 200 of FIGS. 2A, 2B, and 2C .
  • the third stage may be subsequent to the second stage.
  • the third stage includes forming the first metallization 212 , the second metallization 214 , or both.
  • the first metallization 212 and the second metallization 214 may be formed of or include conductive material, such as a metal.
  • the third stage also includes forming a dielectric portion 250 .
  • the dielectric portion 250 may be formed of dielectric material.
  • the dielectric portion 250 is formed of a polymer, such as a polyimide.
  • the dielectric portion 250 may be formed using a deposition process, such as CVD.
  • the third stage also includes performing a layer transfer process to couple a carrier wafer 1102 to the first gate structure 202 subsequent to forming the metallization (e.g., the first metallization 212 , the second metallization 214 , or both) and the dielectric portion 250 .
  • the carrier wafer 1102 may be coupled to the first gate structure 102 such that the semiconductive layer 207 is between the buried oxide layer 209 and the carrier wafer 1102 .
  • the wafer may be flipped and bonded or adhered to the carrier wafer 1102 .
  • the carrier wafer 1102 may correspond to a CMOS wafer.
  • the carrier wafer 1102 is formed of or includes glass.
  • FIGS. 12A and 12B illustrate an example of a fourth stage during fabrication of the semiconductor device 200 of FIGS. 2A, 2B, and 2C .
  • the fourth stage may be subsequent to the third stage.
  • the fourth stage includes removing at least a portion of the handle material 906 .
  • portions of the handle material 906 other than the portions 906 a and 906 b may be removed using a grinding process, an etching process (e.g., a wet etch), or both.
  • the portions 906 a and 906 b are doped with a material that provides sufficient selectivity to prevent the portions 906 a and 906 b from being removed during an etching process to etch the handle material 906 . Removing the portions of the handle material 906 other than the portions 906 a and 906 b will expose the second oxide layer 210 .
  • the fourth stage additionally includes performing a selective etch to remove the portions 906 a and 906 b .
  • the etch used to remove the portions 906 a and 906 b is configured to not etch (e.g., to not entirely etch) the third and fourth oxide layers 232 and 234 .
  • at least a portion of each of the third and fourth oxide layers 232 and 234 remains subsequent to performing the selective etch to remove the portions 906 a and 906 b .
  • the third and fourth oxide layers 232 and 234 that remain subsequent to removing the portions 906 a and 906 b provide electrical isolation between the first gate structure 202 and the second gate structure 204 , enabling the first and second gate structures 202 and 204 to be independently biased.
  • FIGS. 13A and 13B illustrate an example of a fifth stage during fabrication of the semiconductor device 200 of FIGS. 2A, 2B, and 2C .
  • the fifth stage may be subsequent to the fourth stage.
  • the fifth stage includes forming the second gate structure 204 .
  • the second gate structure 204 may be formed by depositing material of the second gate structure 204 on the second oxide layer 210 .
  • Forming the second gate structure 204 may additionally include depositing material of the second gate structure 204 on the third and fourth oxide layers 232 and 234 .
  • the third oxide layer 232 and the fourth oxide layer 234 are located between the second gate structure 204 and the first gate structure 202 .
  • the first gate structure 202 is not in contact with the second gate structure 204 .
  • the first gate structure 202 may be used to bias the channel structure 205 independently of the second gate structure 204
  • the second gate structure 204 may be used to bias the channel structure 205 independently of the first gate structure 202 .
  • the first gate structure 202 and the second gate structure 204 may provide for greater flexibility in controlling the channel region 206 than gate structures that includes a single gate.
  • the second oxide layer 210 may be located between the second gate structure 204 and the channel region 206 , and may serve as a gate oxide for the second gate structure 204 during operation of the semiconductor device 200 of FIGS. 2A, 2B, and 2C .
  • the sixth stage may be performed subsequent to the fifth stage.
  • the sixth stage may include forming a passivation layer 260 .
  • the passivation layer 260 may be formed using a deposition process, such as CVD.
  • a trench 262 may be formed in the 260 and a bond pad [not illustrated] may be formed in the trench 262 .
  • the sixth stage may include removing the carrier wafer 1102 of FIGS. 13A and 13B .
  • the electronic device may include the semiconductor device 100 of FIGS. 1A, 1B, and 1C or the semiconductor device 200 of FIGS. 2A, 2B, and 2C .
  • the method 1400 may be performed using a SOI wafer.
  • the method 1400 may include, at 1402 , forming a first gate structure on at least three sides of a channel structure that includes a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer.
  • the first gate structure may correspond to the first gate structure 102 of FIGS. 1A, 1B, and 1C or may correspond to the first gate structure 202 of FIGS. 2A, 2B, and 2C .
  • the first gate structure 102 may be formed as described above with reference to the second stage of FIGS. 3A and 3B .
  • the channel structure may correspond to the channel structure 105 of FIGS. 1A, 1B, and 1C
  • the first oxide layer may correspond to the first oxide layer 108
  • the second oxide layer may correspond to the second oxide layer 110
  • the channel region may correspond to the channel region 106 of FIGS. 1A, 1B, and 1C .
  • forming the first gate structure 102 includes depositing material of the first gate structure 102 in the first trench 302 and in the second trench 304 of FIGS. 3A and 3B .
  • the first gate structure 202 may be formed as described above with reference to the second stage of FIGS. 10A and 10B .
  • the channel structure may correspond to the channel structure 205 of FIGS. 2A, 2B, and 2C
  • the first oxide layer may correspond to the first oxide layer 208
  • the second oxide layer may correspond to the second oxide layer 210
  • the channel region may correspond to the channel region 206 of FIGS. 2A, 2B, and 2C .
  • forming the first gate structure 202 includes depositing material of the first gate structure 202 in the first trench 902 and in the second trench 904 of FIGS. 9A and 9B .
  • the method 1400 may include, at 1404 , forming a second gate structure on a fourth side of the channel structure.
  • the second gate structure may correspond to the second gate structure 104 of FIGS. 1A, 1B, and 1C or may correspond to the second gate structure 204 of FIGS. 2A, 2B, and 2C .
  • the second gate structure 104 may be formed as described above with reference to the sixth stage of FIGS. 8A and 8B .
  • the first gate structure and the second gate structure two-dimensionally surround the channel structure.
  • the second gate structure 204 may be formed as described above with reference to the fifth stage of FIGS. 13A and 13B .
  • the first gate structure 202 is not in contact with the second gate structure 204 . Because the first gate structure 202 is not in contact with the second gate structure 204 , the first and second gate structures 202 and 204 may each be used independently to bias the channel structure 205 during operation of the semiconductor device 200 .
  • the method 1400 additionally includes etching a first trench in a semiconductive layer and a buried oxide layer of a SOI wafer. In these examples, the method 1400 additionally includes etching a second trench in the semiconductive layer and the buried oxide layer.
  • the first and second trenches may correspond to the first and second trenches 302 and 304 of FIGS. 3A and 3B , and may be formed as described above with reference to the first stage of fabricating the semiconductor device 100 of FIGS. 1A, 1B, and 1C .
  • the first and second trenches may correspond to the first and second trenches 902 and 904 of FIGS. 9A and 9B , and may be formed as described above with reference to the first stage of fabricating the semiconductor device 200 of FIGS. 2A, 2B, and 2C .
  • the method 1400 additionally includes performing a layer transfer process to couple a carrier wafer to the first gate structure such that the semiconductive layer is between the buried oxide layer and the carrier wafer.
  • the carrier wafer may correspond to the carrier wafer 502 of FIGS. 5A and 5B and the layer transfer process may be performed as described above with reference to the third stage of fabricating the semiconductor device 100 of FIGS. 1A, 1B, and 1C .
  • the carrier wafer may correspond to the carrier wafer 1102 of FIGS. 11A and 11B and the layer transfer process may be performed as described above with reference to the third stage of fabricating the semiconductor device 200 of FIGS. 2A, 2B, and 2C .
  • the method 1400 additionally includes removing at least a portion of a handle material of the SOI wafer to expose the second oxide layer.
  • the handle material may correspond to the handle material 906 of FIGS. 11A and 11B
  • the at least the portion of the handle material 906 may correspond to portions of the handle material 906 other than the portions 906 a and 906 b .
  • the at least the portion of the handle material 906 is removed as described above with reference to the fourth stage of fabricating the semiconductor device 200 of FIGS. 2A, 2B, and 2C .
  • Removing the at least the portion of the handle material 906 exposes the second oxide layer 210 of FIGS. 12A and 12B .
  • forming the second gate structure as in 1404 of the method 1400 includes depositing material of the second gate structure on the second oxide layer 210 that was exposed by removing the at least the portion of the handle material 906 .
  • the method 1400 additionally includes removing a handle material of the SOI wafer.
  • the handle material may correspond to the handle material 306 of FIGS. 5A and 5B and the handle material 306 is removed as described above with reference to the fourth stage of fabricating the semiconductor device 100 of FIGS. 1A, 1B, and 1C .
  • the method 1400 additionally includes thinning a buried oxide layer to form a thinned buried oxide layer including a portion corresponding to the second oxide layer.
  • the buried oxide layer may correspond to the buried oxide layer 309 of FIGS. 6A and 6B
  • the thinned buried oxide layer may correspond to the thinned buried oxide layer 709 of FIGS.
  • forming the second gate structure at 1404 of the method 1400 includes depositing material of the second gate structure on the second oxide layer as described above with reference to the sixth stage of fabricating the IC of FIGS. 1A, 1B, and 1C .
  • the second oxide layer may be located between opposing sides of the first gate structure.
  • the electronic device may include the semiconductor device 100 of FIGS. 1A, 1B, and 1C .
  • the method 1500 may include, at 1502 , forming a first gate structure on at least a first side of a channel structure that includes a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer.
  • the first gate structure may correspond to the first gate structure 102 of FIGS. 1A, 1B, and 1C and the first gate structure 102 may be formed as described above with reference to the second stage of FIGS. 3A and 3B .
  • the channel structure may correspond to the channel structure 105 of FIGS.
  • the first oxide layer may correspond to the first oxide layer 108
  • the second oxide layer may correspond to the second oxide layer 110
  • the channel region may correspond to the channel region 106 of FIGS. 1A, 1B, and 1C
  • forming the first gate structure 102 includes depositing material of the first gate structure 102 in the first trench and in the second trenches 302 and 304 , respectively, of FIGS. 3A and 3B .
  • the method 1500 includes, at 1504 , forming a second gate structure on at least a second side of the channel structure and in contact with the first gate structure such that together the first gate structure and the second gate structure two-dimensionally surround at least a portion of the channel structure.
  • the second gate structure may correspond to the second gate structure 104 of FIGS. 1A, 1B, and 1C , and the second gate structure 104 may be formed as described above with reference to the sixth stage of FIGS. 8A and 8B .
  • the first gate structure 102 and the second gate structure 104 two-dimensionally surround the channel structure.
  • the method 1500 additionally includes etching a first trench in a semiconductive layer and a buried oxide layer of a SOI wafer. In these examples, the method 1500 additionally includes etching a second trench in the semiconductive layer and the buried oxide layer.
  • the first and second trenches may correspond to the first and second trenches 302 and 304 of FIGS. 3A and 3B and may be formed as described above with reference to the first stage of fabricating the semiconductor device 100 of FIGS. 1A, 1B, and 1C .
  • forming the first gate structure at 1502 may include depositing material of the first gate structure in the first trench and in the second trenches 302 and 304 , respectively.
  • the method 1500 additionally includes performing a layer transfer process to couple a carrier wafer to the first gate structure such that the semiconductive layer is between the buried oxide layer and the carrier wafer.
  • the carrier wafer may correspond to the carrier wafer 502 of FIGS. 5A and 5B and may the layer transfer process may be performed as described above with reference to the third stage of fabricating the semiconductor device 100 of FIGS. 1A, 1B, and 1C .
  • the method 1500 additionally includes removing a handle material of the SOI wafer.
  • the handle material may correspond to the handle material 306 of FIGS. 5A and 5B and the handle material 306 is removed as described above with reference to the fourth stage of fabricating the semiconductor device 100 of FIGS. 1A, 1B, and 1C .
  • the method 1500 additionally includes thinning a buried oxide layer to form a thinned buried oxide layer including a portion corresponding to the second oxide layer.
  • the buried oxide layer may correspond to the buried oxide layer 309 of FIGS. 6A and 6B
  • the thinned buried oxide layer may correspond to the thinned buried oxide layer 709 of FIGS.
  • forming the second gate structure at 1504 of the method 1500 includes depositing material of the second gate structure on the second oxide layer as described above with reference to the sixth stage of fabricating the semiconductor device 100 of FIGS. 1A, 1B, and 1C .
  • the second oxide layer may be located between opposing sides of the first gate structure and at least a portion of the second gate structure is located between the opposing sides of the first gate structure.
  • the wireless communication device 1600 includes a processor 1610 , such as a digital signal processor (DSP), coupled to a memory 1632 (e.g., a random access memory (RAM), flash memory, read-only memory (ROM), programmable read-only memory (PROM), erasable programmable read-only memory (EPROM), electrically erasable programmable read-only memory (EEPROM), registers, hard disk, a removable disk, a compact disc read-only memory (CD-ROM), or any other form of non-transient storage medium known in the art).
  • DSP digital signal processor
  • RAM random access memory
  • ROM read-only memory
  • PROM programmable read-only memory
  • EPROM erasable programmable read-only memory
  • EEPROM electrically erasable programmable read-only memory
  • registers hard disk, a removable disk, a compact disc read-only memory (CD-ROM), or any other form of non-transient storage medium known in the art.
  • FIG. 16 also shows a display controller 1626 that is coupled to the processor 1610 and to a display 1628 .
  • a coder/decoder (CODEC) 1634 may also be coupled to the processor 1610 .
  • a speaker 1636 and a microphone 1638 may be coupled to the CODEC 1634 .
  • FIG. 16 also indicates that a wireless controller 1640 may be coupled to the processor 1610 and may be further coupled to an antenna 1642 .
  • the wireless controller 1640 may include one or more ICs 1680 , such as RF IC components (e.g., switches).
  • the one or more ICs 1680 may include or may correspond to the semiconductor device 100 of FIGS. 1A, 1B, and 1C , the semiconductor device 200 of FIGS. 2A, 2B, and 2C , or a combination thereof.
  • the one or more ICs 1680 may be configured to route RF signals as part of an antenna switch or a distribution switch.
  • the processor 1610 , the display controller 1626 , the memory 1632 , the CODEC 1634 , and the wireless controller 1640 are included in a system-in-package or system-on-chip device 1622 .
  • an input device 1630 and a power supply 1644 are coupled to the system-on-chip device 1622 .
  • the display 1628 , the input device 1630 , the speaker 1636 , the microphone 1638 , the antenna 1642 , and the power supply 1644 are external to the system-on-chip device 1622 .
  • each of the display 1628 , the input device 1630 , the speaker 1636 , the microphone 1638 , the antenna 1642 , and the power supply 1644 may be coupled to a component of the system-on-chip device 1622 , such as an interface or a controller.
  • an apparatus in conjunction with the described embodiments, includes means for providing a conductive channel.
  • the means for providing the conductive channel may correspond to the channel structure 105 of FIG. 1A or the channel structure 205 of FIG. 2A .
  • the apparatus additionally includes first means for gating the means for providing the conductive channel.
  • the first means for gating is proximate to at least three sides of the means for providing the conductive channel.
  • the first means for gating may correspond to the first gate structure 102 of FIG. 1A or the first gate structure 202 of FIG. 2A .
  • the apparatus additionally includes second means for gating the means for providing the conductive channel.
  • the second means for gating is proximate to at least a fourth side of the means for providing the conductive channel.
  • the second means for gating may correspond to the second gate structure 104 of FIG. 1A or the second gate structure 204 of FIG. 2A .
  • an apparatus includes means for providing a conductive channel.
  • the means for providing the conductive channel may correspond to the channel structure 105 of FIG. 1A or the channel structure 205 of FIG. 2A .
  • the apparatus additionally includes first means for gating the means for providing the conductive channel.
  • the first means for gating is proximate to at least a first side of the means for providing the conductive channel.
  • the first means for gating may correspond to the first gate structure 102 of FIG. 1A .
  • the apparatus additionally includes second means for gating the means for providing the conductive channel.
  • the second means for gating is proximate to at least a second side of the means for providing the conductive channel.
  • the second means for gating is in contact with the first means for gating.
  • the second means for gating may correspond to the second gate structure 104 of FIG. 1A .
  • the first means for gating and the second means for gating two-dimensionally surround at least a portion of the means for providing the conductive channel as described above with reference to FIGS. 1A, 1B , and 1 C.
  • the foregoing disclosed devices and functionalities may be designed and configured into computer files (e.g. RTL, GDSII, GERBER, etc.) stored on computer readable media. Some or all such files may be provided to fabrication handlers who fabricate devices based on such files. Resulting products include semiconductor wafers that are then cut into semiconductor die and packaged into a semiconductor chip. The chips are then integrated into electronic devices, as described further with reference to FIG. 17 .
  • computer files e.g. RTL, GDSII, GERBER, etc.
  • Some or all such files may be provided to fabrication handlers who fabricate devices based on such files. Resulting products include semiconductor wafers that are then cut into semiconductor die and packaged into a semiconductor chip. The chips are then integrated into electronic devices, as described further with reference to FIG. 17 .
  • Physical device information 1702 is received at the manufacturing process 1700 , such as at a research computer 1706 .
  • the physical device information 1702 may include design information representing at least one physical property of a semiconductor device, such as the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C ; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C ; or any combination thereof.
  • the physical device information 1702 may include physical parameters, material characteristics, and structure information that is entered via a user interface 1704 coupled to the research computer 1706 .
  • the research computer 1706 includes a processor 1708 , such as one or more processing cores, coupled to a computer readable medium (e.g., a non-transitory computer-readable storage medium), such as a memory 1710 .
  • the memory 1710 may store computer readable instructions that are executable to cause the processor 1708 to transform the physical device information 1702 to comply with a file format and to generate a library file 1712 .
  • the library file 1712 includes at least one data file including the transformed design information.
  • the library file 1712 may include a library of semiconductor devices including a device that includes the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B , and 1 C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C ; or any combination thereof, that is provided for use with an electronic design automation (EDA) tool 1720 .
  • EDA electronic design automation
  • the library file 1712 may be used in conjunction with the EDA tool 1720 at a design computer 1714 including a processor 1716 , such as one or more processing cores, coupled to a memory 1718 .
  • the EDA tool 1720 may be stored as processor executable instructions at the memory 1718 to enable a user of the design computer 1714 to design a circuit including the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C ; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C ; or any combination thereof, of the library file 1712 .
  • a user of the design computer 1714 may enter circuit design information 1722 via a user interface 1724 coupled to the design computer 1714 .
  • the circuit design information 1722 may include design information representing at least one physical property of a semiconductor device, such as the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C ; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C ; or any combination thereof.
  • the circuit design property may include identification of particular circuits and relationships to other elements in a circuit design, positioning information, feature size information, interconnection information, or other information representing a physical property of a semiconductor device.
  • the design computer 1714 may be configured to transform the design information, including the circuit design information 1722 , to comply with a file format.
  • the file format may include a database binary file format representing planar geometric shapes, text labels, and other information about a circuit layout in a hierarchical format, such as a Graphic Data System (GDSII) file format.
  • the design computer 1714 may be configured to generate a data file including the transformed design information, such as a GDSII file 1726 that includes information describing the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C ; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS.
  • the data file may include information corresponding to a system-on-chip (SOC) that includes the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C ; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C , and that also includes additional electronic circuits and components within the SOC.
  • SOC system-on-chip
  • the GDSII file 1726 may be received at a fabrication process 1728 to fabricate the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C ; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C , or any combination thereof, according to transformed information in the GDSII file 1726 .
  • a device manufacturing process may include providing the GDSII file 1726 to a mask manufacturer 1730 to create one or more masks, such as masks to be used with photolithography processing, illustrated as a representative mask 1732 .
  • the mask 1732 may be used during the fabrication process to generate one or more wafers 1733 , which may be tested and separated into dies, such as a representative die 1736 .
  • the die 1736 includes a circuit including a device that includes the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C ; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B , and 2 C, or any combination thereof.
  • the fabrication process 1728 may include a processor 1734 and a memory 1735 to initiate and/or control the fabrication process 1728 .
  • the memory 1735 may include executable instructions such as computer-readable instructions or processor-readable instructions.
  • the executable instructions may include one or more instructions that are executable by a computer such as the processor 1734 .
  • the executable instructions may cause a computer to perform the method 1400 of FIG. 14 (or at least a portion thereof) and/or the method 1500 of FIG. 15 (or at least a portion thereof).
  • the fabrication process 1728 may be implemented by a fabrication system that is fully automated or partially automated.
  • the fabrication process 1728 may be automated according to a schedule.
  • the fabrication system may include fabrication equipment (e.g., processing tools) to perform one or more operations to form a semiconductor device.
  • the fabrication equipment may be configured to deposit one or more materials using chemical vapor deposition (CVD) and/or physical vapor deposition (PVD), pattern materials using a single-mask or multi-mask litho-etch process (e.g., two-mask LELE), pattern materials using a litho-freeze-litho-etch (LFLE) process, pattern materials using a self-aligned double patterning (SADP) process, epitaxially grow one or more materials, conformally deposit one or more materials, apply a hardmask, apply an etching mask, perform etching, perform planarization, form a dummy gate stack, form a gate stack, perform a standard clean 1 type, etc.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • a single-mask or multi-mask litho-etch process e.g., two-mask LELE
  • LFLE litho-freeze-litho-etch
  • SADP self-aligned double patterning
  • the fabrication process 1728 corresponds to a semiconductor manufacturing process associated with a technology node smaller than 14 nm (e.g., 10 nm, 7 nm, etc.).
  • the specific process or combination of processes used to manufacture a device e.g., the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C ; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C ; or any combination thereof
  • different processes may be used than described with reference to FIGS. 1-17 during manufacture of the device.
  • the fabrication system may have a distributed architecture (e.g., a hierarchy).
  • the fabrication system may include one or more processors, such as the processor 1734 , one or more memories, such as the memory 1735 , and/or controllers that are distributed according to the distributed architecture.
  • the distributed architecture may include a high-level processor that controls or initiates operations of one or more low-level systems.
  • a high-level portion of the fabrication process 1728 may include one or more processors, such as the processor 1734 , and the low-level systems may each include or may be controlled by one or more corresponding controllers.
  • a particular controller of a particular low-level system may receive one or more instructions (e.g., commands) from a particular high-level system, may issue sub-commands to subordinate modules or process tools, and may communicate status data back to the particular high-level.
  • Each of the one or more low-level systems may be associated with one or more corresponding pieces of fabrication equipment (e.g., processing tools).
  • the fabrication system may include multiple processors that are distributed in the fabrication system.
  • a controller of a low-level system component may include a processor, such as the processor 1734 .
  • the processor 1734 may be a part of a high-level system, subsystem, or component of the fabrication system. In another implementation, the processor 1734 includes distributed processing at various levels and components of a fabrication system.
  • the executable instructions included in the memory 1735 may enable the processor 1734 to form (or initiate formation of) the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C ; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C ; or any combination thereof.
  • the memory 1735 is a non-transitory computer-readable medium storing computer-executable instructions that are executable by the processor 1734 to cause the processor 1734 to initiate formation of a device in accordance with at least a portion of the method 1400 of FIG. 14 (or at least a portion thereof) and/or the method 1500 of FIG. 15 (or at least a portion thereof).
  • the computer executable instructions may be executable to cause the processor 1734 to initiate formation of the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C ; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C ; or any combination thereof.
  • the processor 1734 may initiate or control one or more steps of the method 1400 of FIG. 14 (or at least a portion thereof) and/or the method 1500 of FIG. 15 (or at least a portion thereof).
  • the die 1736 may be provided to a packaging process 1738 where the die 1736 is incorporated into a representative package 1740 .
  • the package 1740 may include the single die 1736 or multiple dies, such as a system-in-package (SiP) arrangement.
  • the package 1740 may be configured to conform to one or more standards or specifications, such as Joint Electron Device Engineering Council (JEDEC) standards.
  • JEDEC Joint Electron Device Engineering Council
  • the computer 1746 may include a processor 1748 , such as one or more processing cores, coupled to a memory 1750 .
  • a printed circuit board (PCB) tool may be stored as processor executable instructions at the memory 1750 to process PCB design information 1742 received from a user of the computer 1746 via a user interface 1744 .
  • the PCB design information 1742 may include physical positioning information of a packaged semiconductor device on a circuit board, the packaged semiconductor device corresponding to the package 1740 including the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C ; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C ; or any combination thereof.
  • the computer 1746 may be configured to transform the PCB design information 1742 to generate a data file, such as a GERBER file 1752 with data that includes physical positioning information of a packaged semiconductor device on a circuit board, as well as layout of electrical connections such as traces and vias, where the packaged semiconductor device corresponds to the package 1740 including the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C ; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C ; or any combination thereof.
  • the data file generated by the transformed PCB design information may have a format other than a GERBER format.
  • the GERBER file 1752 may be received at a board assembly process 1754 and used to create PCBs, such as a representative PCB 1756 , manufactured in accordance with the design information stored within the GERBER file 1752 .
  • the GERBER file 1752 may be uploaded to one or more machines to perform various steps of a PCB production process.
  • the PCB 1756 may be populated with electronic components including the package 1740 to form a representative printed circuit assembly (PCA) 1758 .
  • PCA printed circuit assembly
  • the PCA 1758 may be received at a product manufacturing process 1760 and integrated into one or more electronic devices, such as a first representative electronic device 1762 and a second representative electronic device 1764 .
  • the first representative electronic device 1762 , the second representative electronic device 1764 , or both may include or correspond to the wireless communication device 1600 of FIG. 16 .
  • the first representative electronic device 1762 , the second representative electronic device 1764 , or both may include a communications device, a fixed location data unit, a mobile location data unit, a mobile phone, a cellular phone, a satellite phone, a computer, a tablet, a portable computer, or a desktop computer.
  • the first representative electronic device 1762 , the second representative electronic device 1764 , or both may include a set top box, an entertainment unit, a navigation device, a personal digital assistant (PDA), a monitor, a computer monitor, a television, a tuner, a radio, a satellite radio, a music player, a digital music player, a portable music player, a video player, a digital video player, a digital video disc (DVD) player, a portable digital video player, any other device that stores or retrieves data or computer instructions, or a combination thereof, into which the into which the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C ; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C ; or any combination thereof is integrated.
  • PDA personal digital assistant
  • one or more of the electronic devices 1762 and 1764 may include remote units such as mobile phones, hand-held personal communication systems (PCS) units, portable data units such as personal data assistants, global positioning system (GPS) enabled devices, navigation devices, fixed location data units such as meter reading equipment, or any other device that stores or retrieves data or computer instructions, or any combination thereof.
  • FIG. 17 illustrates remote units according to teachings of the disclosure, the disclosure is not limited to these illustrated units. Aspects, examples, and/or implementations of the disclosure may be suitably employed in any device which includes active integrated circuitry including memory and on-chip circuitry.
  • one or more of the electronic device 1762 and 1764 may include cars, trucks, airplanes, boats, other vehicles, or appliances, such as refrigerators, microwaves, washing machines, security systems, other appliances, or a combination thereof.
  • one or more of the electronic device 1762 and 1764 may utilize memory and/or wireless communication.
  • a device that includes the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C ; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C ; or any combination thereof, may be fabricated, processed, and incorporated into an electronic device, as described in the illustrative process 1700 .
  • 1-17 may be included at various processing stages, such as within the library file 1712 , the GDSII file 1726 (e.g., a file having a GDSII format), and the GERBER file 1752 (e.g., a file having a GERBER format), as well as stored at the memory 1710 of the research computer 1706 , the memory 1718 of the design computer 1714 , the memory 1750 of the computer 1746 , the memory of one or more other computers or processors (not shown) used at the various stages, such as at the board assembly process 1754 , and also incorporated into one or more other physical implementations such as the mask 1732 , the die 1736 , the package 1740 , the PCA 1758 , other products such as prototype circuits or devices (not shown), or any combination thereof.
  • the GDSII file 1726 e.g., a file having a GDSII format
  • the GERBER file 1752 e.g., a file having a GERBER format
  • process 1700 may be performed by a single entity or by one or more entities performing various stages of the process 1700 .
  • FIGS. 1-17 may illustrate systems, devices, and/or methods according to the teachings of the disclosure, the disclosure is not limited to these illustrated systems, devices, and/or methods. Aspects, examples, and/or implementations of the disclosure may be suitably employed in any device that includes integrated circuitry including memory, a processor, and on-chip circuitry.
  • FIGS. 1-17 One or more functions or components of any of FIGS. 1-17 as illustrated or described herein may be combined with one or more other portions of another of FIGS. 1-17 . Accordingly, no single aspect, example, and/or implementation described herein should be construed as limiting and aspects, examples, and/or implementations of the disclosure may be suitably combined without departing form the teachings of the disclosure.
  • a software module may reside in random access memory (RAM), flash memory, read-only memory (ROM), programmable read-only memory (PROM), erasable programmable read-only memory (EPROM), electrically erasable programmable read-only memory (EEPROM), registers, hard disk, a removable disk, a compact disc read-only memory (CD-ROM), or any other form of non-transient storage medium known in the art.
  • An exemplary storage medium is coupled to the processor such that the processor can read information from, and write information to, the storage medium.
  • the storage medium may be integral to the processor.
  • the processor and the storage medium may reside in an application-specific integrated circuit (ASIC).
  • ASIC application-specific integrated circuit
  • the ASIC may reside in a computing device or a user terminal.
  • the processor and the storage medium may reside as discrete components in a computing device or user terminal.
  • a storage device is not a signal.

Abstract

A semiconductor device includes a channel structure that includes a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer. The semiconductor device includes a first gate structure proximate to at least three sides of the channel structure. The semiconductor device includes a second gate structure proximate to at least a fourth side of the channel structure.

Description

I. CROSS REFERENCE TO RELATED APPLICATIONS
The present application claims the benefit of U.S. Provisional Patent Application No. 62/459,794, entitled “WRAP-AROUND GATE STRUCTURES AND METHODS OF FORMING WRAP-AROUND GATE STRUCTURES,” filed Feb. 16, 2017, which is expressly incorporated by reference herein in its entirety.
II. FIELD
The present disclosure is generally related to semiconductor gate structures.
III. DESCRIPTION OF RELATED ART
Advances in technology have resulted in smaller and more powerful computing devices. For example, there currently exist a variety of portable personal computing devices, including wireless telephones such as mobile and smart phones, tablets and laptop computers that are small, lightweight, and easily carried by users. These devices can communicate voice and data packets over wireless networks. Further, many such devices incorporate additional functionality such as a digital still camera, a digital video camera, a digital recorder, and an audio file player. Also, such devices can process executable instructions, including software applications, such as a web browser application, that can be used to access the Internet. As such, these devices can include significant computing capabilities.
These devices may include integrated circuits (ICs) that include a gate and a channel structure. The gate may be proximate to a single side of the channel structure. Having the gate on only a single side of the channel structure may limit drive currents (saturation current) and current conductance of the ICs, and may allow significant leakage currents through the ICs.
IV. SUMMARY
In a particular aspect, a semiconductor device includes a channel structure that includes a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer. The semiconductor device includes a first gate structure proximate to at least three sides of the channel structure. The semiconductor device includes a second gate structure proximate to at least a fourth side of the channel structure.
In a particular aspect, a method includes forming a first gate structure on at least three sides of a channel structure that includes a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer. The method additionally includes forming a second gate structure on a fourth side of the channel structure.
In a particular aspect, a semiconductor device includes a channel structure that includes a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer. The semiconductor device includes a first gate structure on at least a first side of the channel structure. The semiconductor device includes a second gate structure on at least a second side of the channel structure and in contact with the first gate structure. Together, the first gate structure and the second gate structure two-dimensionally surround at least a portion of the channel structure.
In a particular aspect, a method includes forming a first gate structure on at least a first side of a channel structure that includes a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer. The method includes forming a second gate structure on at least a second side of the channel structure and in contact with the first gate structure such that together the first gate structure and the second gate structure two-dimensionally surround at least a portion of the channel structure.
In a particular aspect, a non-transitory computer-readable medium including processor-executable instructions that, when executed by a processor, cause the processor to initiate fabrication of an integrated circuit device. The integrated circuit is fabricated by forming a first gate structure on at least three sides of a channel structure including a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer. The integrated circuit is further fabricated by forming a second gate structure on a fourth side of the channel structure.
In a particular aspect, a non-transitory computer-readable medium including processor-executable instructions that, when executed by a processor, cause the processor to initiate fabrication of an integrated circuit device. The integrated circuit device is fabricated by forming a first gate structure on at least a first side of a channel structure that includes a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer. The integrated circuit is further fabricated by forming a second gate structure on at least a second side of the channel structure and in contact with the first gate structure such that together the first gate structure and the second gate structure two-dimensionally surround at least a portion of the channel structure.
Other aspects, advantages, and features of the present disclosure will become apparent after review of the entire application, including the following sections: Brief Description of the Drawings, Detailed Description, and the Claims.
V. BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1A illustrates a cross-sectional view (along line A of FIG. 1B) of semiconductor device including first and second gate structures that, together, two-dimensionally surround a channel structure;
FIG. 1B illustrates a top view of the semiconductor device of FIG. 1A;
FIG. 1C illustrates a block diagram of aspects of the semiconductor device of FIG. 1A;
FIG. 2A illustrates a cross-sectional view (along line A of FIG. 2B) of a semiconductor device including first and second gate structures;
FIG. 2B illustrates a top view of the semiconductor device of FIG. 2A;
FIG. 2C illustrates a block diagram of aspects of the semiconductor device of FIG. 2A;
FIG. 3A illustrates a cross-sectional view (along line A of FIG. 3B) of an example of a first stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C;
FIG. 3B illustrates a top view of an example of the first stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C;
FIG. 4A illustrates a cross-sectional view (along line A of FIG. 4B) of an example of a second stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C;
FIG. 4B illustrates a top view of an example of the second stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C;
FIG. 5A illustrates a cross-sectional view (along line A of FIG. 5B) of an example of a third stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C;
FIG. 5B illustrates a top view of an example of the third stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C;
FIG. 6A illustrates a cross-sectional view (along line A of FIG. 6B) of an example of a fourth stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C;
FIG. 6B illustrates a top view of an example of the fourth stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C;
FIG. 7A illustrates a cross-sectional view (along line A of FIG. 7B) of an example of a fifth stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C;
FIG. 7B illustrates a top view of an example of the fifth stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C;
FIG. 8A illustrates a cross-sectional view (along line A of FIG. 8B) of an example of a sixth stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C;
FIG. 8B illustrates a top view of an example of the sixth stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C;
FIG. 9A illustrates a cross-sectional view (along line A of FIG. 9B) of an example of a first stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C;
FIG. 9B illustrates a top view of an example of the first stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C;
FIG. 10A illustrates a cross-sectional view (along line A of FIG. 10B) of an example of a second stage during fabrication of the semiconductor device of FIGS. 1A, 1B, and 1C;
FIG. 10B illustrates a top view of an example of the second stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C;
FIG. 11A illustrates a cross-sectional view (along line A of FIG. 11B) of an example of a third stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C;
FIG. 11B illustrates a top view of an example of the third stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C;
FIG. 12A illustrates a cross-sectional view (along line A of FIG. 12B) of an example of a fourth stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C;
FIG. 12B illustrates a top view of an example of the fourth stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C;
FIG. 13A illustrates a cross-sectional view (along line A of FIG. 13B) of an example of a fifth stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C;
FIG. 13B illustrates a top view of an example of the fifth stage during fabrication of the semiconductor device of FIGS. 2A, 2B, and 2C;
FIG. 14 is a flow chart of a particular illustrative example of a method of forming the semiconductor device of FIGS. 1A, 1B, and 1C or the semiconductor device of FIGS. 2A, 2B, and 2C;
FIG. 15 is a flow chart of a particular illustrative example of a method of forming the semiconductor device of FIGS. 1A, 1B, and 1C;
FIG. 16 is a block diagram of portable device including the semiconductor device of FIGS. 1A, 1B, and 1C, or the semiconductor device of FIGS. 2A, 2B, and 2C; and
FIG. 17 is a data flow diagram of a particular illustrative example of a manufacturing process to manufacture electronic devices that include the semiconductor device of FIGS. 1A, 1B, and 1C or the semiconductor device of FIGS. 2A, 2B, and 2C.
VI. DETAILED DESCRIPTION
Semiconductor devices described herein include a gate-all-around structure proximate to a channel structure (that includes a channel region) that has a cross-section that includes multiple sides (e.g., four sides). In some implementations, the gate-all-around structure includes portions proximate to (e.g., on, covering, adjacent to, etc.) the multiple sides (e.g., proximate to the four sides) of the channel structure, enabling the gate-all-around structure to control the channel region from the multiple sides (e.g., from the four sides) of the channel structure. Controlling the channel region from the multiple sides (e.g., from all of the sides of the cross-section of the channel structure) may enable higher driver current and current conductance, and may limit leakage current through the semiconductor device that includes the gate-all-around structure, as compared to gate structures that control the channel region at a single side of the channel structure or at fewer than all sides of a cross-section of the channel structure. Additionally, in some embodiments, the gate-all-around structure is formed of two independently controllable gate structures, which may enable the gate structure to independently bias the channel from different sides using the independently controllable gate structures. Additionally, methods of forming a semiconductor device including the gate-all-around structure may be performed using a layer transfer process.
FIGS. 1A, 1B, and 1C illustrate views of an example of a semiconductor device (e.g., an integrated circuit (IC) or a portion of an IC) 100 that includes a first gate structure 102 and a second gate structure 104 that is in contact with the first gate structure 102. The semiconductor device 100 may correspond to a transistor (or a portion of a transistor). In some examples, the semiconductor device 100 may be implemented as a transistor in a radio frequency (RF) complementary metal-oxide semiconductor (CMOS). The semiconductor device 100 may be formed as described in more detail below with reference to first, second, third, fourth, fifth, and sixth fabrication stages illustrated in FIGS. 3A and 3B, 4A and 4B, 5A and 5B, 6A and 6B, 7A and 7B, and 8A and 8B, respectively.
The first and second gate structures 102 and 104 may include conductive material arranged around a channel structure 105. For example, the first gate structure 102 may be formed of or include a metal or a polysilicon material. The second gate structure 104 may be formed of the same material as the first gate structure 102 or may be formed of a different material than the first gate structure 102. In some examples, the second gate structure 104 corresponds to a lower metal 1 layer. In these examples, the second gate structure 104 may be formed of or may include copper, aluminum (Al), any other conductive metal/alloy.
The semiconductor device 100 additionally includes the channel structure 105. In the example illustrate in FIG. 1A, the channel structure 105 includes a first oxide layer 108, a second oxide layer 110, and a channel region 106 between the first oxide layer 108 and the second oxide layer 110. The channel region 106 may be formed of a portion of a semiconductive layer 107 of a silicon on insulator (SOI) wafer. For example, the portion of the semiconductive layer of the SOI wafer may correspond to a portion of the semiconductive layer 107 located between first and second trenches formed in the semiconductive layer 107 as described in more detail below with reference to the first and second trenches 302 and 304 of FIGS. 3A and 3B.
As described in more detail below, the second oxide layer 110 may correspond to a portion of a buried oxide layer (of the SOI) that has been thinned subsequent to removal of a handle material 306 of FIG. 3A (e.g., a handle wafer) of the SOI that is coupled to the buried oxide layer. For example, a buried oxide layer 309 of FIGS. 6A and 6B may be thinned to create a thinned buried oxide layer 709 of FIGS. 7A and 7B, and a portion of the thinned buried oxide layer 709 may correspond to the second oxide layer 110. The first oxide layer 108 may have a first thickness. For example, a portion of the first oxide layer 108 may have the first thickness. The second oxide layer 110 may have a second thickness. The first thickness may be the same as or distinct from the second thickness. For example, the second oxide layer 110 may be thinner than the first oxide layer 108 (or a portion of the first oxide layer 108).
Additionally, the first oxide layer 108 may be formed by an oxidation process at portions of the semiconductive layer 107 of the SOI that are exposed in response to etching of the first and second trenches in the semiconductive layer 107 and the buried oxide layer. For example, as described in more detail below with reference to FIG. 3A, subsequent to etching the first and second semiconductor trenches 302 and 304, thermal oxidation may be performed, resulting in the first oxide layer 108. As described in more detail below with reference to FIGS. 3A and 3B and FIGS. 4A and 4B, the first gate structure 102 is formed by depositing material of the first gate structure 102 in the first and second trenches 302 and 304 of FIGS. 3A and 3B.
The first oxide layer 108 may include a first (e.g., a bottom) side (e.g., side 108 a of FIG. 3A) formed on a side of the semiconductive layer 107 (e.g., on a side of the channel region 106) that is opposite the side of the semiconductive layer 107 that is in contact with the thinned buried oxide layer 709. The first oxide layer 108 may include second and third sides (e.g., sides 108 b and 108 c, respectively, of FIG. 3A) that are formed on exposed portions of the semiconductive layer 107 in response to an oxidation process (e.g., a thermal oxidation process) as described in more detail below with reference to FIGS. 3A and 3B. In the orientation illustrated in FIGS. 1A and 1B, the second and third sides of the first oxide layer 108 extend substantially vertically and the first side of the first oxide layer 108 extends substantially horizontally.
The first gate structure 102 is located proximate to (e.g., on, in contact with, adjacent to, etc.) at least three sides of the channel structure 105. For example, a first side 105 a of the channel structure 105 may correspond to the first (e.g., e.g., bottom) side of the first oxide layer 108, a second side 105 b of the channel structure 105 may correspond to the second side of the first oxide layer 108, and a third side 105 c of the channel structure 105 may correspond to the third side of the first oxide layer 108. In these examples, the first gate structure 102 may be proximate to the first, second, and third sides 105 a, 105 b, and 105 c of the channel structure 105 by being in contact with one or more portions of each of the first, second, and third sides of the first oxide layer 108. The first gate structure 102 may include one or more portions proximate to (e.g., on, in contact with, adjacent to, etc.) the second oxide layer 110. In these examples, the first gate structure 102 is proximate to the second and third sides 105 b and 105 c of the channel structure 105 and may be proximate to the second oxide layer 110.
The second gate structure 104 is located proximate to (e.g., on, in contact with, adjacent to, etc.) a fourth side 105 d of the channel structure 105. The fourth side 105 d of the channel structure 105 may be opposite of the first side 105 a of the channel structure 105.
Together, the first gate structure 102 and the second gate structure 104 form a wrap-around gate structure 120 (e.g., a gate-all-around structure). The wrap-around gate structure 120 may two-dimensionally surround the channel structure 105. For example, together, the first gate structure 102 and the second gate structure 104 enclose sides 105 a, 105 b, 105 c, and 105 d (e.g., all peripheral sides) of the cross-section of the channel structure 105 illustrated in FIG. 1A.
The semiconductor device 100 includes metallization (e.g., contacts and interconnects) and a dielectric portion (e.g., an inter-layer dielectric layer) 150. For example, the semiconductor device may include first metallization 112 (e.g., first contacts and/or interconnects) to contact the first gate structure 102 at a first location of the first gate structure 102. Additionally or alternatively, the semiconductor device 100 may include second metallization 114 (e.g., second contacts and/or interconnects) to contact the first gate structure 102 at a second location of the first gate structure 102.
The semiconductor device 100 may include a source region 122 and a drain region 124. The source region 122 and the drain region 124 may be formed in the semiconductive layer 107 (into the plane of paper upon which the illustrated example is depicted). During operation a channel may form in the channel region 106 between the source region 122 and the drain region 124.
Thus, the wrap-around gate structure 120 is proximate to (e.g., is on, covers, is adjacent to, etc.) all four sides of the periphery of the cross-section of the channel structure 105 illustrated in FIG. 1A, enabling the wrap-around gate structure 120 to control the channel region 106 from multiple sides (e.g., from all four sides of the periphery of the cross-section) of the channel structure 105. Controlling the channel region 106 from the multiple sides (e.g., from the sides 105 a, 105 b, 105 c, and 105 d) may enable higher driver current and current conductance, and may limit leakage current through the semiconductor device 100, as compared to controlling the channel region 106 from a single side of the channel structure 105 or at fewer than all sides of a periphery of a cross-section of the channel structure 105.
FIGS. 2A, 2B, and 2C illustrate views of an example of semiconductor device (e.g., an IC or a portion of an IC) 200 that includes a first gate structure 202 and a second gate structure 204 associated with a channel structure 205. The semiconductor device 200 may correspond to a transistor (or a portion of a transistor). In some examples, the semiconductor device 200 may be implemented as a transistor in a radio frequency (RF) complementary metal-oxide semiconductor (CMOS). The semiconductor device 200 may be formed as described in more detail below with reference to first, second, third, fourth, and fifth fabrication stages illustrated in FIGS. 9A and 9B, 10A and 10B, 11A and 11B, 12A and 12B, and 13A and 13B, respectively,
The first and second gate structures 202 and 204 may be formed of conductive material. For example, the first gate structure 202 may be formed of a metal or a polysilicon material. The second gate structure 204 may be formed of the same material as the first gate structure 202 or may be formed of a different material than the first gate structure 202. In some examples, the second gate structure 204 corresponds to a lower metal 1 layer. In these examples, the second gate structure 204 may be formed of or may include copper, aluminum (Al), or any other conductive metal/alloy.
The semiconductor device 200 additionally includes the channel structure 205. In the example illustrated in FIG. 2A, the channel structure 205 includes a first oxide layer 208, a second oxide layer 210, and a channel region 206 between the first oxide layer 208 and the second oxide layer 210. The channel region 206 may be formed of a portion of a semiconductive layer 207 of a SOI wafer. For example, the portion of the semiconductive layer 207 of the SOI wafer may correspond to a portion of the semiconductive layer 207 located between first and second trenches formed in the semiconductive layer 207 as described in more detail below with reference to the first and second trenches 902 and 904 of FIGS. 9A and 9B.
As described in more detail below, the second oxide layer 210 may correspond to a portion of a buried oxide layer (of the SOI) between first and second trenches formed in a semiconductive layer and the buried oxide layer of the SOI. For example, as described in more detail below with reference to FIGS. 9A and 9B, a portion of the buried oxide layer 209 between the first and second trenches 902 and 904 of FIGS. 9A and 9B may correspond to the second oxide layer 210. The first oxide layer 208 may have a first thickness. For example, a portion of the first oxide layer 208 may have the first thickness. The second oxide layer 210 may have a second thickness. The first thickness may be the same as or distinct from the second thickness. For example, the second oxide layer 210 may be thicker than the first oxide layer 208 (or a portion of the first oxide layer 208).
The first oxide layer 108 may include a first (e.g., a bottom) side (e.g., side 108 a of FIG. 3A) formed on a side of the semiconductive layer 107 (e.g., on a side of the channel region 106) that is opposite the side of the semiconductive layer 107 that is in contact with the thinned buried oxide layer 709. The first oxide layer 108 may include second and third sides (e.g., sides 108 b and 108 c, respectively, of FIG. 3A) that are formed on exposed portions of the semiconductive layer 107 in response to an oxidation process (e.g., a thermal oxidation process) as described in more detail below with reference to FIGS. 3A and 3B. In the orientation illustrated in FIGS. 1A and 1B, the second and third sides of the first oxide layer 108 extend substantially vertically and the first side of the first oxide layer 108 extends substantially horizontally.
Additionally, the first oxide layer 208 may be formed by an oxidation process at portions of the semiconductive layer 207 of the SOI that are exposed in response to etching of the first and second trenches in the semiconductive layer 107 and the buried oxide layer. For example, as described in more detail below with reference to FIGS. 9A and 9B, subsequent to etching the first and second trenches 902 and 904, thermal oxidation may be performed, resulting in the first oxide layer 208. As described in more detail below with reference to FIGS. 10A and 10B, the first gate structure 202 is formed by depositing material of the first gate structure 202 in the first and second trenches 902 and 904 of FIGS. 9A and 9B.
The first oxide layer 208 may include a first (e.g., a bottom) side (e.g., side 208 a of FIG. 9A) formed on a side of the semiconductive layer 207 (e.g., on a side of the channel region 206) that is opposite the side of the semiconductive layer 207 that is in contact with the buried oxide layer 209. The first oxide layer 208 may include second and third sides (e.g., sides 208 b and 208 c, respectively, of FIG. 9A) that are formed on exposed portions of the semiconductive layer 207 in response to an oxidation process (e.g., a thermal oxidation process) as described in more detail below with reference to FIGS. 9A and 9B. In the orientation illustrated in FIGS. 2A and 2B, the second and third sides of the first oxide layer 208 extend substantially vertically and the first side of the first oxide layer 208 extends substantially horizontally.
The first gate structure 202 is located proximate to (e.g., on, in contact with, adjacent to, etc.) at least three sides of the channel structure 205. For example, a first side 205 a of the channel structure 205 may correspond to a first (e.g., e.g., bottom) side of the first oxide layer 208, a second side 205 b of the channel structure 205 may correspond to the second side of the first oxide layer 208, and a third side 205 c of the channel structure 205 may correspond to the third side of the first oxide layer 208. In these examples, the first gate structure 202 may be proximate to the first, second, and third sides 205 a, 205 b, and 205 c, respectively, of the channel structure 205 by being in contact with one or more portions of each of the first, second, and third sides of the first oxide layer 208. The first gate structure 202 may include one or more portions proximate to (e.g., on, in contact with, adjacent to, etc.) the second oxide layer 210. In these examples, the first gate structure 202 may be proximate to the second and third sides 205 b and 205 c of the channel structure 205 at least in part by being in contact with sides of the second oxide layer 210
The second gate structure 204 is located proximate to (e.g., on, in contact with, adjacent to, etc.) a fourth side 205 d of the channel structure 205. The fourth side 205 d of the channel structure 205 may be opposite of the first side 205 a of the channel structure 205.
The semiconductor device 200 may additionally include a first portion 906 a of a handle material (e.g., the handle material 906 of FIGS. 9A and 9B) and a second portion 906 b of a handle material that have been doped as described in more detail below with reference to FIGS. 9A and 9B. Together, the first gate structure 202 and the second gate structure 204 form a wrap-around gate structure 220. The semiconductor device 200 may additionally include a third oxide layer 232 and a fourth oxide layer 234. The third oxide layer 232 and the fourth oxide layer 234 may be formed on the first portion 906 a and the second portion 906 b of the handle material in response to exposing portions of the handle material defining portions (e.g., bottom portions of) the first and second trenches as described below in more detail with reference to FIGS. 9A and 9B. The third oxide layer 232 and the fourth oxide layer 234 may be between the second gate structure 204 and the first gate structure 202. In these examples, the first gate structure 202 is not in contact with the second gate structure 204, which enables independent biasing of the first gate structure 202 and the second gate structure 204.
The semiconductor device 200 includes metallization (e.g., contacts and interconnects) and a dielectric portion (e.g., an inter-layer dielectric layer) 250. For example, the semiconductor device 200 may include first metallization 212 (e.g., first contacts and/or interconnects) to contact the first gate structure 202 at a first location of the first gate structure 202. Additionally or alternatively, the semiconductor device 200 may include second metallization 214 (e.g., second contacts and/or interconnects) to contact the first gate structure 202 at a second location of the first gate structure 202. The semiconductor device may additionally include third metallization [not illustrated] coupled to the second gate structure 204 to enable the second gate structure 204 to be biased independently of the first gate structure 202.
The semiconductor device 200 may include a source region 222 and a drain region 224. The source region 222 and the drain region 224 may be formed in the semiconductive layer 207. During operation a channel may form in the channel region 206 between the source region 222 and the drain region 224.
Thus, the wrap-around gate structure 220 is proximate to (e.g., is on, covers, is adjacent to, etc.) all four sides of the periphery of the cross-section of the channel structure 205 illustrated in FIG. 2A, enabling the wrap-around gate structure 220 to control the channel region 206 from multiple sides (e.g., from all four sides of the periphery of the cross-section) of the channel structure 205. Controlling the channel region 206 from the multiple sides (e.g., from the sides 205 a, 205 b, 205 c, and 205 d) may enable higher drive current and current conductance, and may limit leakage current through the semiconductor device 200, as compared to controlling the channel region 206 from a single side of the channel structure 205 or at fewer than all sides of a periphery of a cross-section of the channel structure 205. Additionally, the separation between the first gate structure 202 and the second gate structure 204 enables independent biasing of the channel region 206 during operation (e.g., enables the first gate structure 202 to apply a bias to the channel region independently of whether the second gate structure 204 applies a bias to the channel region, and vice versa).
FIGS. 3A and 3B illustrate an example of a first stage during fabrication of the semiconductor device 100 of FIGS. 1A, 1B, and 1C. The first stage of FIGS. 3A and 3B may include forming first and second trenches 302 and 304 in the semiconductive layer 107 and the buried oxide layer 309. In conjunction with the handle material 306 (e.g., of a handle wafer of an SOD, the semiconductive layer 107 and the buried oxide layer 309 (e.g., prior to forming the first and second trenches 302 and 304) may correspond to or form an SOI wafer. The semiconductive layer 107 may include a layer of monocrystalline silicon. The buried oxide layer 309 may be adjacent to the layer of monocrystalline silicon. In some examples, the first and second trenches 302 and 304 may be formed by performing one or more etches. For example, a first etching process may be performed to form the portions of the first and second trenches 302 and 304 in the semiconductive layer 107 and a second etching process may be performed to form the portions of the first and second trenches 302 and 304 in the buried oxide layer 309. The semiconductor device 100 may include a portion 310 of the buried oxide layer 309 that is between the first and second trenches 302 and 304.
The first stage during fabrication of the semiconductor device 100 may additionally include performing an oxidation process, such as thermal oxidation. For example, a thermal oxidation process may be performed subsequent to forming the first and second trenches 302 and 304, and an oxide may form on the semiconductive layer 107 in response to exposing material of the semiconductive layer 107 to oxygen at a high temperature (e.g., approximately 1150 degrees Celsius). For example, in response to or during the thermal oxidation, the first oxide layer 108 may form on the portion of the semiconductive layer 107 that is between the first and second trenches 302 and 304, resulting in the first oxide layer 108 described above with reference to FIGS. 1A, 1B, and 1C. The first oxide layer 108 may include a first side 108 a, a second side 108 b, and a third side 108 c as described above with reference to FIGS. 1A, 1B, and 1C. The first side 108 a, the second side 108 b, and the third side 108 c may form a portion of the channel structure 105 of FIGS. 1A, 1B, and 1C. Additionally, an oxide may form in response to exposing portions of the handle material 306 to oxygen at high temperature during the thermal oxidation process. For example, in response to or during the thermal oxidation, the third oxide layer 332 and the fourth oxide layer 334 may form in response to exposing portions of the handle material 306 that define (e.g., that define a bottom portion of) the first and second trenches 302 and 304 to oxygen at a high temperature.
FIGS. 4A and 4B illustrate an example of a second stage during fabrication of the semiconductor device 100 of FIGS. 1A, 1B, and 1C. The second stage may be subsequent to the first stage. The second stage includes forming the first gate structure 102. Forming the first gate structure 102 includes depositing material of the first gate structure 102 in the first trench 302 and in the second trench 304. Forming the first gate structure 102 also includes depositing the material of the first gate structure 102 on the first side 108 a of the first oxide layer 108. The first oxide layer 108 may be between portions of the first gate structure 102 and the portion of the semiconductive layer 107 between the first and second trenches 302 and 304 (e.g., may be between the first gate structure 102 and the channel region 106). Thus, the first gate structure 102 may not contact the channel region 106. In some examples, the first oxide layer 108 serves as a gate oxide between portions of the first gate structure 102 and the channel region 106. Additionally, the portion 310 may be between portions of the first gate structure 102 and the channel region 106. In conjunction, the first oxide layer 108 and the portion 310 may two-dimensionally surround the channel region 106.
FIGS. 5A and 5B illustrate an example of a third stage during fabrication of the semiconductor device 100 of FIGS. 1A, 1B, and 1C. The third stage may be subsequent to the second stage. The third stage includes forming the first metallization 112, the second metallization 114, or both. The first metallization 112 and the second metallization 114 may be formed of or include conductive material, such as a metal. In some examples, additional or different metallization than the first metallization 112 and the second metallization 114 is formed.
The third stage also includes forming a dielectric portion 150. The dielectric portion 150 may be formed of dielectric material, such as silicon dioxide. In some examples, the dielectric portion 150 is formed of a polymer, such as a polyimide. The dielectric material may be formed using a deposition technique, such as chemical vapor deposition (CVD).
The third stage also includes performing a layer transfer process to couple a carrier wafer 502 to the first gate structure 102 subsequent to forming the metallization (e.g., the first metallization 112, the second metallization 114, or both) and the dielectric portion 150. The carrier wafer 502 may be coupled to the first gate structure 102 such that the semiconductive layer 107 is between the buried oxide layer 309 and the carrier wafer 502. For example, subsequent to forming the metallization and the dielectric portion 150, the wafer may be flipped and bonded or adhered to the carrier wafer 502. The carrier wafer 502 may correspond to a CMOS wafer. In some examples, the carrier wafer 502 is formed of or includes glass.
FIGS. 6A and 6B illustrate an example of a fourth stage during fabrication of the semiconductor device 100 of FIGS. 1A, 1B, and 1C. The fourth stage may be subsequent to the third stage. The fourth stage includes removing the handle material 306. Removing the handle material 306 may expose the portion 310 of the buried oxide layer 309. In some examples, the handle material 306 may be removed using an etching process, a grinding process, or both.
FIGS. 7A and 7B illustrate an example of a fifth stage during fabrication of the semiconductor device 100 of FIGS. 1A, 1B, and 1C. The fifth stage may be subsequent to the fourth stage. The fifth stage includes removing the third and fourth oxide layers 332 and 334 and thinning the buried oxide layer 309 of FIGS. 6A and 6B to generate a thinned buried oxide layer 709. The third and fourth oxide layers 332 and 334 may be removed, and the buried oxide layer 309 of FIGS. 6A and 6B may be thinned, using an etching process, a grinding process, or both. The buried oxide layer 309 may be thinned from about twenty nanometers to about eight to ten nanometers (or to as thin as process limits allow). The thinned buried oxide layer 709 may be used as a gate oxide layer between the second gate structure 104 and the channel region 106. Using the thinned buried oxide layer 709 as a gate oxide may enable the second gate structure 104 to exert greater control or influence on the channel region 106 as opposed to using the buried oxide layer 309 as the oxide layer. The thinned buried oxide layer 709 may include the second oxide layer 110. In some examples, the second oxide layer 110 is located between opposing sides of the first gate structure 102. The second oxide layer 110, the channel region 106, and the first oxide layer 108 together form the channel structure 105 of FIG. 1.
FIGS. 8A and 8B illustrate an example of a sixth stage during fabrication of the semiconductor device 100 of FIGS. 1A, 1B, and 1C. The sixth stage may be subsequent to the fifth stage. The sixth stage includes forming the second gate structure 104. The second gate structure 104 may be formed by depositing material of the second gate structure 104 on a portion (e.g., on the second oxide layer 110) of the thinned buried oxide layer 709 and on one or more portions of the first gate structure 102. Thus, the first gate structure 102 is in contact with the second gate structure 104. A size of the exposed portion of the first gate structure 102 is scaled according to a length of the channel to aid in alignment of the first gate structure 102 with the second gate structure 104. Together, the first gate structure 102 and the second gate structure 104 two-dimensionally surround the channel structure 105. Additionally, the second oxide layer 110 may be located between the second gate structure 104 and the channel region 106 and may serve as a gate oxide for the second gate structure 104 during operation of the semiconductor device 100 of FIGS. 1A, 1B, and 1C.
Referring to FIGS. 1A, 1B, and 1C, an example of a seventh stage during fabrication of the semiconductor device 100 is illustrated. The seventh stage may be performed subsequent to the sixth stage. The seventh stage may include forming a passivation layer 160. The passivation layer 160 may be formed using a deposition process, such as CVD. In some examples, a trench 162 may be formed in the passivation layer 160 and a bond pad [not illustrated] may be formed in the trench 162. The seventh stage may include removing the carrier wafer 502 of FIGS. 8A and 8B.
FIGS. 9A and 9B illustrate an example of a first stage during fabrication of the semiconductor device 200 of FIGS. 2A, 2B, and 2C. The first stage of FIGS. 9A and 9B may include doping the handle material 906 to form the portions (or regions) 906 a and 906 b. The handle material 906 may be doped to form the portions 906 a and 906 b using an ion-implementation technique. For example, the ion-implementation technique may dope the portions 906 a and 906 b with a semiconductor dopant, such as boron, arsenic, or phosphorous.
The first stage of FIGS. 9A and 9B may include forming first and second trenches 902 and 904 in the semiconductive layer 207 and the buried oxide layer 209. In conjunction with the handle material 906 (e.g., of a handle wafer of an SOI), the semiconductive layer 207 and the buried oxide layer 209 (e.g., prior to forming the first and second trenches 902 and 904) may correspond to or form an SOI wafer. The semiconductive layer 207 may include a layer of monocrystalline silicon. The buried oxide layer 209 may be adjacent to the layer of monocrystalline silicon. In some example, the first and second trenches 902 and 904 may be formed by performing one or more etches. For example, a first etching process may be performed to form the portions of the first and second trenches 902 and 904 in the semiconductive layer 207 and a second etching process may be performed to form the portions of the first and second trenches 902 and 904 in the buried oxide layer 209.
The first stage during fabrication of the semiconductor device 200 may additionally include performing an oxidation process, such as thermal oxidation. For example, a thermal oxidation process may be performed subsequent to forming the first and second trenches 902 and 904, and an oxide may form on the semiconductive layer 207 in response to exposing material of the semiconductive layer 207 to oxygen at a high temperature (e.g., approximately 1150 degrees Celsius). For example, in response to or during the thermal oxidation, the first oxide layer 208 may form on the portion of the semiconductive layer 207 that is between the first and second trenches 902 and 904, resulting in the first oxide layer 208 described above with reference to FIGS. 1A, 1B, and 1C. For example, the first oxide layer 208 may include a first side 208 a, a second side 208 b, and a third side 208 c. The first side 208 a, the second side 208 b, and the third side 208 c may form a portion of the channel structure 205 of FIGS. 2A, 3B, and 2C. Additionally, an oxide may form in response to exposing portions of the handle material 906 to oxygen at a high temperature during the thermal oxidation process. For example, in response to or during the thermal oxidation, the third oxide layer 232 and the fourth oxide layer 234 may form in response to exposing portions of the handle material 906 that define (e.g., a bottom portion of) the first and second trenches 902 and 904 to oxygen at a high temperature. The third oxide layer 232 and the fourth oxide layer 234 may be formed proximate to (e.g., on) the portions 906 a and 906 b, respectively.
FIGS. 10A and 10B illustrate an example of a second stage during fabrication of the semiconductor device 200 of FIGS. 2A, 2B, and 2C. The second stage may be subsequent to the first stage. The second stage includes forming the first gate structure 202. Forming the first gate structure 202 includes depositing material of the first gate structure 202 in the first trench 902 and in the second trench 904. Forming the first gate structure 202 also includes depositing the material of the first gate structure 202 on the first side 208 a of the first oxide layer 208. The first oxide layer 208 may be between portions of the first gate structure 202 and the portion of the semiconductive layer 207 between the first and second trenches 902 and 904 (e.g., may be between the first gate structure 202 and the channel region 206). Thus, the first gate structure 202 may not contact the channel region 206. In some examples, the first oxide layer 208 serves as a gate oxide between portions of the first contact structure and the channel region 206. Additionally, the second oxide layer 210 may be between portions of the first gate structure 202 and the channel region 206. In conjunction, the first oxide layer 208 and the second oxide layer 210 may two-dimensionally surround the channel region 206.
FIGS. 11A and 11B illustrate an example of a third stage during fabrication of the semiconductor device 200 of FIGS. 2A, 2B, and 2C. The third stage may be subsequent to the second stage. The third stage includes forming the first metallization 212, the second metallization 214, or both. The first metallization 212 and the second metallization 214 may be formed of or include conductive material, such as a metal.
The third stage also includes forming a dielectric portion 250. The dielectric portion 250 may be formed of dielectric material. In some examples, the dielectric portion 250 is formed of a polymer, such as a polyimide. The dielectric portion 250 may be formed using a deposition process, such as CVD.
The third stage also includes performing a layer transfer process to couple a carrier wafer 1102 to the first gate structure 202 subsequent to forming the metallization (e.g., the first metallization 212, the second metallization 214, or both) and the dielectric portion 250. The carrier wafer 1102 may be coupled to the first gate structure 102 such that the semiconductive layer 207 is between the buried oxide layer 209 and the carrier wafer 1102. For example, subsequent to forming the metallization and the dielectric portion 250, the wafer may be flipped and bonded or adhered to the carrier wafer 1102. The carrier wafer 1102 may correspond to a CMOS wafer. In some examples, the carrier wafer 1102 is formed of or includes glass.
FIGS. 12A and 12B illustrate an example of a fourth stage during fabrication of the semiconductor device 200 of FIGS. 2A, 2B, and 2C. The fourth stage may be subsequent to the third stage. The fourth stage includes removing at least a portion of the handle material 906. For example, portions of the handle material 906 other than the portions 906 a and 906 b may be removed using a grinding process, an etching process (e.g., a wet etch), or both. The portions 906 a and 906 b are doped with a material that provides sufficient selectivity to prevent the portions 906 a and 906 b from being removed during an etching process to etch the handle material 906. Removing the portions of the handle material 906 other than the portions 906 a and 906 b will expose the second oxide layer 210.
The fourth stage additionally includes performing a selective etch to remove the portions 906 a and 906 b. The etch used to remove the portions 906 a and 906 b is configured to not etch (e.g., to not entirely etch) the third and fourth oxide layers 232 and 234. Thus, at least a portion of each of the third and fourth oxide layers 232 and 234 remains subsequent to performing the selective etch to remove the portions 906 a and 906 b. The third and fourth oxide layers 232 and 234 that remain subsequent to removing the portions 906 a and 906 b provide electrical isolation between the first gate structure 202 and the second gate structure 204, enabling the first and second gate structures 202 and 204 to be independently biased.
FIGS. 13A and 13B illustrate an example of a fifth stage during fabrication of the semiconductor device 200 of FIGS. 2A, 2B, and 2C. The fifth stage may be subsequent to the fourth stage. The fifth stage includes forming the second gate structure 204. The second gate structure 204 may be formed by depositing material of the second gate structure 204 on the second oxide layer 210. Forming the second gate structure 204 may additionally include depositing material of the second gate structure 204 on the third and fourth oxide layers 232 and 234. The third oxide layer 232 and the fourth oxide layer 234 are located between the second gate structure 204 and the first gate structure 202. Thus, the first gate structure 202 is not in contact with the second gate structure 204. Because the first gate structure 202 is not in contact with the second gate structure 204, during operation, the first gate structure 202 may be used to bias the channel structure 205 independently of the second gate structure 204, and the second gate structure 204 may be used to bias the channel structure 205 independently of the first gate structure 202. Thus, the first gate structure 202 and the second gate structure 204 may provide for greater flexibility in controlling the channel region 206 than gate structures that includes a single gate. Additionally, the second oxide layer 210 may be located between the second gate structure 204 and the channel region 206, and may serve as a gate oxide for the second gate structure 204 during operation of the semiconductor device 200 of FIGS. 2A, 2B, and 2C.
Referring to FIGS. 2A, 2B, and 2C, an example of a sixth stage during fabrication of the semiconductor device 200 is illustrated. The sixth stage may be performed subsequent to the fifth stage. The sixth stage may include forming a passivation layer 260. The passivation layer 260 may be formed using a deposition process, such as CVD. In some examples, a trench 262 may be formed in the 260 and a bond pad [not illustrated] may be formed in the trench 262. The sixth stage may include removing the carrier wafer 1102 of FIGS. 13A and 13B.
Referring to FIG. 14, a flow chart of an illustrative example of a method 1400 of fabricating an electronic device is depicted. The electronic device may include the semiconductor device 100 of FIGS. 1A, 1B, and 1C or the semiconductor device 200 of FIGS. 2A, 2B, and 2C. The method 1400 may be performed using a SOI wafer.
The method 1400 may include, at 1402, forming a first gate structure on at least three sides of a channel structure that includes a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer. For example, the first gate structure may correspond to the first gate structure 102 of FIGS. 1A, 1B, and 1C or may correspond to the first gate structure 202 of FIGS. 2A, 2B, and 2C.
In examples in which the first gate structure corresponds to the first gate structure 102 of FIGS. 1A, 1B, and 1C, the first gate structure 102 may be formed as described above with reference to the second stage of FIGS. 3A and 3B. In these examples, the channel structure may correspond to the channel structure 105 of FIGS. 1A, 1B, and 1C, the first oxide layer may correspond to the first oxide layer 108, the second oxide layer may correspond to the second oxide layer 110, and the channel region may correspond to the channel region 106 of FIGS. 1A, 1B, and 1C. In some implementations, forming the first gate structure 102 includes depositing material of the first gate structure 102 in the first trench 302 and in the second trench 304 of FIGS. 3A and 3B.
In examples in which the first gate structure corresponds to the first gate structure 202 of FIGS. 2A, 2B, and 2C, the first gate structure 202 may be formed as described above with reference to the second stage of FIGS. 10A and 10B. In these examples, the channel structure may correspond to the channel structure 205 of FIGS. 2A, 2B, and 2C, the first oxide layer may correspond to the first oxide layer 208, the second oxide layer may correspond to the second oxide layer 210, and the channel region may correspond to the channel region 206 of FIGS. 2A, 2B, and 2C. In some implementations, forming the first gate structure 202 includes depositing material of the first gate structure 202 in the first trench 902 and in the second trench 904 of FIGS. 9A and 9B.
The method 1400 may include, at 1404, forming a second gate structure on a fourth side of the channel structure. For example, the second gate structure may correspond to the second gate structure 104 of FIGS. 1A, 1B, and 1C or may correspond to the second gate structure 204 of FIGS. 2A, 2B, and 2C.
In examples in which the second gate structure corresponds to the second gate structure 104 of FIGS. 1A, 1B, and 1C, the second gate structure 104 may be formed as described above with reference to the sixth stage of FIGS. 8A and 8B. In these examples, the first gate structure and the second gate structure two-dimensionally surround the channel structure.
In examples in which the second gate structure corresponds to the second gate structure 204 of FIGS. 2A, 2B, and 2C, the second gate structure 204 may be formed as described above with reference to the fifth stage of FIGS. 13A and 13B. In these examples, the first gate structure 202 is not in contact with the second gate structure 204. Because the first gate structure 202 is not in contact with the second gate structure 204, the first and second gate structures 202 and 204 may each be used independently to bias the channel structure 205 during operation of the semiconductor device 200.
In some implementations, the method 1400 additionally includes etching a first trench in a semiconductive layer and a buried oxide layer of a SOI wafer. In these examples, the method 1400 additionally includes etching a second trench in the semiconductive layer and the buried oxide layer.
For example, the first and second trenches may correspond to the first and second trenches 302 and 304 of FIGS. 3A and 3B, and may be formed as described above with reference to the first stage of fabricating the semiconductor device 100 of FIGS. 1A, 1B, and 1C. As another example, the first and second trenches may correspond to the first and second trenches 902 and 904 of FIGS. 9A and 9B, and may be formed as described above with reference to the first stage of fabricating the semiconductor device 200 of FIGS. 2A, 2B, and 2C.
In some implementations, the method 1400 additionally includes performing a layer transfer process to couple a carrier wafer to the first gate structure such that the semiconductive layer is between the buried oxide layer and the carrier wafer. For example, the carrier wafer may correspond to the carrier wafer 502 of FIGS. 5A and 5B and the layer transfer process may be performed as described above with reference to the third stage of fabricating the semiconductor device 100 of FIGS. 1A, 1B, and 1C. As another example, the carrier wafer may correspond to the carrier wafer 1102 of FIGS. 11A and 11B and the layer transfer process may be performed as described above with reference to the third stage of fabricating the semiconductor device 200 of FIGS. 2A, 2B, and 2C.
In some implementations, the method 1400 additionally includes removing at least a portion of a handle material of the SOI wafer to expose the second oxide layer. For example, the handle material may correspond to the handle material 906 of FIGS. 11A and 11B, and the at least the portion of the handle material 906 may correspond to portions of the handle material 906 other than the portions 906 a and 906 b. In these examples, the at least the portion of the handle material 906 is removed as described above with reference to the fourth stage of fabricating the semiconductor device 200 of FIGS. 2A, 2B, and 2C. Removing the at least the portion of the handle material 906 exposes the second oxide layer 210 of FIGS. 12A and 12B. In these examples, forming the second gate structure as in 1404 of the method 1400 includes depositing material of the second gate structure on the second oxide layer 210 that was exposed by removing the at least the portion of the handle material 906.
In some implementations, the method 1400 additionally includes removing a handle material of the SOI wafer. For example, the handle material may correspond to the handle material 306 of FIGS. 5A and 5B and the handle material 306 is removed as described above with reference to the fourth stage of fabricating the semiconductor device 100 of FIGS. 1A, 1B, and 1C. In these examples, the method 1400 additionally includes thinning a buried oxide layer to form a thinned buried oxide layer including a portion corresponding to the second oxide layer. For example, the buried oxide layer may correspond to the buried oxide layer 309 of FIGS. 6A and 6B, the thinned buried oxide layer may correspond to the thinned buried oxide layer 709 of FIGS. 7A and 7B, and the buried oxide layer 309 may be thinned to form the thinned buried oxide layer 709 as described above with reference to the fifth stage of fabricating the IC of FIGS. 1A, 1B, and 1C. In these examples, forming the second gate structure at 1404 of the method 1400 includes depositing material of the second gate structure on the second oxide layer as described above with reference to the sixth stage of fabricating the IC of FIGS. 1A, 1B, and 1C. In these examples, the second oxide layer may be located between opposing sides of the first gate structure.
Referring to FIG. 15, a flow chart of an illustrative example of a method 1500 of fabricating an electronic device is depicted. The electronic device may include the semiconductor device 100 of FIGS. 1A, 1B, and 1C.
The method 1500 may include, at 1502, forming a first gate structure on at least a first side of a channel structure that includes a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer. For example, the first gate structure may correspond to the first gate structure 102 of FIGS. 1A, 1B, and 1C and the first gate structure 102 may be formed as described above with reference to the second stage of FIGS. 3A and 3B. In these examples, the channel structure may correspond to the channel structure 105 of FIGS. 1A, 1B, and 1C, the first oxide layer may correspond to the first oxide layer 108, the second oxide layer may correspond to the second oxide layer 110, and the channel region may correspond to the channel region 106 of FIGS. 1A, 1B, and 1C. In some implementations, forming the first gate structure 102 includes depositing material of the first gate structure 102 in the first trench and in the second trenches 302 and 304, respectively, of FIGS. 3A and 3B.
The method 1500 includes, at 1504, forming a second gate structure on at least a second side of the channel structure and in contact with the first gate structure such that together the first gate structure and the second gate structure two-dimensionally surround at least a portion of the channel structure. For example, the second gate structure may correspond to the second gate structure 104 of FIGS. 1A, 1B, and 1C, and the second gate structure 104 may be formed as described above with reference to the sixth stage of FIGS. 8A and 8B. The first gate structure 102 and the second gate structure 104 two-dimensionally surround the channel structure.
In some implementations, the method 1500 additionally includes etching a first trench in a semiconductive layer and a buried oxide layer of a SOI wafer. In these examples, the method 1500 additionally includes etching a second trench in the semiconductive layer and the buried oxide layer. For example, the first and second trenches may correspond to the first and second trenches 302 and 304 of FIGS. 3A and 3B and may be formed as described above with reference to the first stage of fabricating the semiconductor device 100 of FIGS. 1A, 1B, and 1C. In these examples, forming the first gate structure at 1502 may include depositing material of the first gate structure in the first trench and in the second trenches 302 and 304, respectively.
In some implementations, the method 1500 additionally includes performing a layer transfer process to couple a carrier wafer to the first gate structure such that the semiconductive layer is between the buried oxide layer and the carrier wafer. For example, the carrier wafer may correspond to the carrier wafer 502 of FIGS. 5A and 5B and may the layer transfer process may be performed as described above with reference to the third stage of fabricating the semiconductor device 100 of FIGS. 1A, 1B, and 1C.
In some implementations, the method 1500 additionally includes removing a handle material of the SOI wafer. For example, the handle material may correspond to the handle material 306 of FIGS. 5A and 5B and the handle material 306 is removed as described above with reference to the fourth stage of fabricating the semiconductor device 100 of FIGS. 1A, 1B, and 1C. In these examples, the method 1500 additionally includes thinning a buried oxide layer to form a thinned buried oxide layer including a portion corresponding to the second oxide layer. For example, the buried oxide layer may correspond to the buried oxide layer 309 of FIGS. 6A and 6B, the thinned buried oxide layer may correspond to the thinned buried oxide layer 709 of FIGS. 7A and 7B, and the buried oxide layer 309 may be thinned to form the thinned buried oxide layer 709 as described above with reference to the fifth stage of fabricating the semiconductor device 100 of FIGS. 1A, 1B, and 1C. In these examples, forming the second gate structure at 1504 of the method 1500 includes depositing material of the second gate structure on the second oxide layer as described above with reference to the sixth stage of fabricating the semiconductor device 100 of FIGS. 1A, 1B, and 1C. In these examples, the second oxide layer may be located between opposing sides of the first gate structure and at least a portion of the second gate structure is located between the opposing sides of the first gate structure.
Referring to FIG. 16, a block diagram of a particular illustrative example of a wireless communication device is depicted and generally designated 1600. The wireless communication device 1600 includes a processor 1610, such as a digital signal processor (DSP), coupled to a memory 1632 (e.g., a random access memory (RAM), flash memory, read-only memory (ROM), programmable read-only memory (PROM), erasable programmable read-only memory (EPROM), electrically erasable programmable read-only memory (EEPROM), registers, hard disk, a removable disk, a compact disc read-only memory (CD-ROM), or any other form of non-transient storage medium known in the art).
FIG. 16 also shows a display controller 1626 that is coupled to the processor 1610 and to a display 1628. A coder/decoder (CODEC) 1634 may also be coupled to the processor 1610. A speaker 1636 and a microphone 1638 may be coupled to the CODEC 1634.
FIG. 16 also indicates that a wireless controller 1640 may be coupled to the processor 1610 and may be further coupled to an antenna 1642. The wireless controller 1640 may include one or more ICs 1680, such as RF IC components (e.g., switches). The one or more ICs 1680 may include or may correspond to the semiconductor device 100 of FIGS. 1A, 1B, and 1C, the semiconductor device 200 of FIGS. 2A, 2B, and 2C, or a combination thereof. The one or more ICs 1680 may be configured to route RF signals as part of an antenna switch or a distribution switch.
In a particular implementation, the processor 1610, the display controller 1626, the memory 1632, the CODEC 1634, and the wireless controller 1640 are included in a system-in-package or system-on-chip device 1622. In a particular implementation, an input device 1630 and a power supply 1644 are coupled to the system-on-chip device 1622. Moreover, in a particular example, as illustrated in FIG. 16, the display 1628, the input device 1630, the speaker 1636, the microphone 1638, the antenna 1642, and the power supply 1644 are external to the system-on-chip device 1622. However, each of the display 1628, the input device 1630, the speaker 1636, the microphone 1638, the antenna 1642, and the power supply 1644 may be coupled to a component of the system-on-chip device 1622, such as an interface or a controller.
In conjunction with the described embodiments, an apparatus is disclosed that includes means for providing a conductive channel. The means for providing the conductive channel may correspond to the channel structure 105 of FIG. 1A or the channel structure 205 of FIG. 2A. The apparatus additionally includes first means for gating the means for providing the conductive channel. The first means for gating is proximate to at least three sides of the means for providing the conductive channel. For example, the first means for gating may correspond to the first gate structure 102 of FIG. 1A or the first gate structure 202 of FIG. 2A. The apparatus additionally includes second means for gating the means for providing the conductive channel. The second means for gating is proximate to at least a fourth side of the means for providing the conductive channel. For example, the second means for gating may correspond to the second gate structure 104 of FIG. 1A or the second gate structure 204 of FIG. 2A.
Additionally, an apparatus is disclosed that includes means for providing a conductive channel. The means for providing the conductive channel may correspond to the channel structure 105 of FIG. 1A or the channel structure 205 of FIG. 2A. The apparatus additionally includes first means for gating the means for providing the conductive channel. The first means for gating is proximate to at least a first side of the means for providing the conductive channel. For example, the first means for gating may correspond to the first gate structure 102 of FIG. 1A. The apparatus additionally includes second means for gating the means for providing the conductive channel. The second means for gating is proximate to at least a second side of the means for providing the conductive channel. The second means for gating is in contact with the first means for gating. For example, the second means for gating may correspond to the second gate structure 104 of FIG. 1A. Together, the first means for gating and the second means for gating two-dimensionally surround at least a portion of the means for providing the conductive channel as described above with reference to FIGS. 1A, 1B, and 1C.
The foregoing disclosed devices and functionalities may be designed and configured into computer files (e.g. RTL, GDSII, GERBER, etc.) stored on computer readable media. Some or all such files may be provided to fabrication handlers who fabricate devices based on such files. Resulting products include semiconductor wafers that are then cut into semiconductor die and packaged into a semiconductor chip. The chips are then integrated into electronic devices, as described further with reference to FIG. 17.
Referring to FIG. 17, a particular illustrative implementation of an electronic device manufacturing (e.g., fabricating) process is depicted and generally designated 1700. Physical device information 1702 is received at the manufacturing process 1700, such as at a research computer 1706. The physical device information 1702 may include design information representing at least one physical property of a semiconductor device, such as the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C; or any combination thereof. For example, the physical device information 1702 may include physical parameters, material characteristics, and structure information that is entered via a user interface 1704 coupled to the research computer 1706. The research computer 1706 includes a processor 1708, such as one or more processing cores, coupled to a computer readable medium (e.g., a non-transitory computer-readable storage medium), such as a memory 1710. The memory 1710 may store computer readable instructions that are executable to cause the processor 1708 to transform the physical device information 1702 to comply with a file format and to generate a library file 1712.
In a particular implementation, the library file 1712 includes at least one data file including the transformed design information. For example, the library file 1712 may include a library of semiconductor devices including a device that includes the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C; or any combination thereof, that is provided for use with an electronic design automation (EDA) tool 1720.
The library file 1712 may be used in conjunction with the EDA tool 1720 at a design computer 1714 including a processor 1716, such as one or more processing cores, coupled to a memory 1718. The EDA tool 1720 may be stored as processor executable instructions at the memory 1718 to enable a user of the design computer 1714 to design a circuit including the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C; or any combination thereof, of the library file 1712. For example, a user of the design computer 1714 may enter circuit design information 1722 via a user interface 1724 coupled to the design computer 1714. The circuit design information 1722 may include design information representing at least one physical property of a semiconductor device, such as the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C; or any combination thereof. To illustrate, the circuit design property may include identification of particular circuits and relationships to other elements in a circuit design, positioning information, feature size information, interconnection information, or other information representing a physical property of a semiconductor device.
The design computer 1714 may be configured to transform the design information, including the circuit design information 1722, to comply with a file format. To illustrate, the file format may include a database binary file format representing planar geometric shapes, text labels, and other information about a circuit layout in a hierarchical format, such as a Graphic Data System (GDSII) file format. The design computer 1714 may be configured to generate a data file including the transformed design information, such as a GDSII file 1726 that includes information describing the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C; or any combination thereof, in addition to other circuits or information. To illustrate, the data file may include information corresponding to a system-on-chip (SOC) that includes the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C, and that also includes additional electronic circuits and components within the SOC.
The GDSII file 1726 may be received at a fabrication process 1728 to fabricate the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C, or any combination thereof, according to transformed information in the GDSII file 1726. For example, a device manufacturing process may include providing the GDSII file 1726 to a mask manufacturer 1730 to create one or more masks, such as masks to be used with photolithography processing, illustrated as a representative mask 1732. The mask 1732 may be used during the fabrication process to generate one or more wafers 1733, which may be tested and separated into dies, such as a representative die 1736. The die 1736 includes a circuit including a device that includes the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C, or any combination thereof.
For example, the fabrication process 1728 may include a processor 1734 and a memory 1735 to initiate and/or control the fabrication process 1728. The memory 1735 may include executable instructions such as computer-readable instructions or processor-readable instructions. The executable instructions may include one or more instructions that are executable by a computer such as the processor 1734. In a particular example, the executable instructions may cause a computer to perform the method 1400 of FIG. 14 (or at least a portion thereof) and/or the method 1500 of FIG. 15 (or at least a portion thereof).
The fabrication process 1728 may be implemented by a fabrication system that is fully automated or partially automated. For example, the fabrication process 1728 may be automated according to a schedule. The fabrication system may include fabrication equipment (e.g., processing tools) to perform one or more operations to form a semiconductor device. For example, the fabrication equipment may be configured to deposit one or more materials using chemical vapor deposition (CVD) and/or physical vapor deposition (PVD), pattern materials using a single-mask or multi-mask litho-etch process (e.g., two-mask LELE), pattern materials using a litho-freeze-litho-etch (LFLE) process, pattern materials using a self-aligned double patterning (SADP) process, epitaxially grow one or more materials, conformally deposit one or more materials, apply a hardmask, apply an etching mask, perform etching, perform planarization, form a dummy gate stack, form a gate stack, perform a standard clean 1 type, etc. In a particular example, the fabrication process 1728 corresponds to a semiconductor manufacturing process associated with a technology node smaller than 14 nm (e.g., 10 nm, 7 nm, etc.). The specific process or combination of processes used to manufacture a device (e.g., the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C; or any combination thereof) may be based on design constraints and available materials/equipment. Thus, in particular implementations, different processes may be used than described with reference to FIGS. 1-17 during manufacture of the device.
The fabrication system (e.g., an automated system that performs the fabrication process 1728) may have a distributed architecture (e.g., a hierarchy). For example, the fabrication system may include one or more processors, such as the processor 1734, one or more memories, such as the memory 1735, and/or controllers that are distributed according to the distributed architecture. The distributed architecture may include a high-level processor that controls or initiates operations of one or more low-level systems. For example, a high-level portion of the fabrication process 1728 may include one or more processors, such as the processor 1734, and the low-level systems may each include or may be controlled by one or more corresponding controllers. A particular controller of a particular low-level system may receive one or more instructions (e.g., commands) from a particular high-level system, may issue sub-commands to subordinate modules or process tools, and may communicate status data back to the particular high-level. Each of the one or more low-level systems may be associated with one or more corresponding pieces of fabrication equipment (e.g., processing tools). In a particular implementation, the fabrication system may include multiple processors that are distributed in the fabrication system. For example, a controller of a low-level system component may include a processor, such as the processor 1734.
Alternatively, the processor 1734 may be a part of a high-level system, subsystem, or component of the fabrication system. In another implementation, the processor 1734 includes distributed processing at various levels and components of a fabrication system.
The executable instructions included in the memory 1735 may enable the processor 1734 to form (or initiate formation of) the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C; or any combination thereof. In a particular implementation, the memory 1735 is a non-transitory computer-readable medium storing computer-executable instructions that are executable by the processor 1734 to cause the processor 1734 to initiate formation of a device in accordance with at least a portion of the method 1400 of FIG. 14 (or at least a portion thereof) and/or the method 1500 of FIG. 15 (or at least a portion thereof). For example, the computer executable instructions may be executable to cause the processor 1734 to initiate formation of the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C; or any combination thereof. As an illustrative example, the processor 1734 may initiate or control one or more steps of the method 1400 of FIG. 14 (or at least a portion thereof) and/or the method 1500 of FIG. 15 (or at least a portion thereof).
The die 1736 may be provided to a packaging process 1738 where the die 1736 is incorporated into a representative package 1740. For example, the package 1740 may include the single die 1736 or multiple dies, such as a system-in-package (SiP) arrangement. The package 1740 may be configured to conform to one or more standards or specifications, such as Joint Electron Device Engineering Council (JEDEC) standards.
Information regarding the package 1740 may be distributed to various product designers, such as via a component library stored at a computer 1746. The computer 1746 may include a processor 1748, such as one or more processing cores, coupled to a memory 1750. A printed circuit board (PCB) tool may be stored as processor executable instructions at the memory 1750 to process PCB design information 1742 received from a user of the computer 1746 via a user interface 1744. The PCB design information 1742 may include physical positioning information of a packaged semiconductor device on a circuit board, the packaged semiconductor device corresponding to the package 1740 including the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C; or any combination thereof.
The computer 1746 may be configured to transform the PCB design information 1742 to generate a data file, such as a GERBER file 1752 with data that includes physical positioning information of a packaged semiconductor device on a circuit board, as well as layout of electrical connections such as traces and vias, where the packaged semiconductor device corresponds to the package 1740 including the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C; or any combination thereof. In other implementations, the data file generated by the transformed PCB design information may have a format other than a GERBER format.
The GERBER file 1752 may be received at a board assembly process 1754 and used to create PCBs, such as a representative PCB 1756, manufactured in accordance with the design information stored within the GERBER file 1752. For example, the GERBER file 1752 may be uploaded to one or more machines to perform various steps of a PCB production process. The PCB 1756 may be populated with electronic components including the package 1740 to form a representative printed circuit assembly (PCA) 1758.
The PCA 1758 may be received at a product manufacturing process 1760 and integrated into one or more electronic devices, such as a first representative electronic device 1762 and a second representative electronic device 1764. For example, the first representative electronic device 1762, the second representative electronic device 1764, or both, may include or correspond to the wireless communication device 1600 of FIG. 16. As an illustrative, non-limiting example, the first representative electronic device 1762, the second representative electronic device 1764, or both, may include a communications device, a fixed location data unit, a mobile location data unit, a mobile phone, a cellular phone, a satellite phone, a computer, a tablet, a portable computer, or a desktop computer. Alternatively or additionally, the first representative electronic device 1762, the second representative electronic device 1764, or both, may include a set top box, an entertainment unit, a navigation device, a personal digital assistant (PDA), a monitor, a computer monitor, a television, a tuner, a radio, a satellite radio, a music player, a digital music player, a portable music player, a video player, a digital video player, a digital video disc (DVD) player, a portable digital video player, any other device that stores or retrieves data or computer instructions, or a combination thereof, into which the into which the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C; or any combination thereof is integrated.
As another illustrative, non-limiting example, one or more of the electronic devices 1762 and 1764 may include remote units such as mobile phones, hand-held personal communication systems (PCS) units, portable data units such as personal data assistants, global positioning system (GPS) enabled devices, navigation devices, fixed location data units such as meter reading equipment, or any other device that stores or retrieves data or computer instructions, or any combination thereof. Although FIG. 17 illustrates remote units according to teachings of the disclosure, the disclosure is not limited to these illustrated units. Aspects, examples, and/or implementations of the disclosure may be suitably employed in any device which includes active integrated circuitry including memory and on-chip circuitry. For example, one or more of the electronic device 1762 and 1764 may include cars, trucks, airplanes, boats, other vehicles, or appliances, such as refrigerators, microwaves, washing machines, security systems, other appliances, or a combination thereof. In a particular implementation, one or more of the electronic device 1762 and 1764 may utilize memory and/or wireless communication.
A device that includes the first and second gate structures 102 and 104 of the semiconductor device 100 of FIGS. 1A, 1B, and 1C; the first and second gate structures 202 and 204 of the semiconductor device 200 of FIGS. 2A, 2B, and 2C; or any combination thereof, may be fabricated, processed, and incorporated into an electronic device, as described in the illustrative process 1700. One or more aspects of the implementations or examples disclosed with respect to FIGS. 1-17 may be included at various processing stages, such as within the library file 1712, the GDSII file 1726 (e.g., a file having a GDSII format), and the GERBER file 1752 (e.g., a file having a GERBER format), as well as stored at the memory 1710 of the research computer 1706, the memory 1718 of the design computer 1714, the memory 1750 of the computer 1746, the memory of one or more other computers or processors (not shown) used at the various stages, such as at the board assembly process 1754, and also incorporated into one or more other physical implementations such as the mask 1732, the die 1736, the package 1740, the PCA 1758, other products such as prototype circuits or devices (not shown), or any combination thereof. Although various representative stages of production from a physical device design to a final product are depicted, in other implementations fewer stages may be used or additional stages may be included. Similarly, the process 1700 may be performed by a single entity or by one or more entities performing various stages of the process 1700.
Although one or more of FIGS. 1-17 may illustrate systems, devices, and/or methods according to the teachings of the disclosure, the disclosure is not limited to these illustrated systems, devices, and/or methods. Aspects, examples, and/or implementations of the disclosure may be suitably employed in any device that includes integrated circuitry including memory, a processor, and on-chip circuitry.
One or more functions or components of any of FIGS. 1-17 as illustrated or described herein may be combined with one or more other portions of another of FIGS. 1-17. Accordingly, no single aspect, example, and/or implementation described herein should be construed as limiting and aspects, examples, and/or implementations of the disclosure may be suitably combined without departing form the teachings of the disclosure.
Those of skill would further appreciate that the various illustrative logical blocks, configurations, modules, circuits, and algorithm steps described in connection with the aspects, examples, and/or implementations disclosed herein may be implemented as electronic hardware, computer software executed by a processor, or combinations of both. Various illustrative components, blocks, configurations, modules, circuits, and steps have been described above generally in terms of their functionality. Whether such functionality is implemented as hardware or processor executable instructions depends upon the particular application and design constraints imposed on the overall system. Skilled artisans may implement the described functionality in varying ways for each particular application, but such implementation decisions should not be interpreted as causing a departure from the scope of the present disclosure.
The steps of a method or algorithm described in connection with the aspects, examples, and/or implementations disclosed herein may be embodied directly in hardware, in a software module executed by a processor, or in a combination of the two. A software module may reside in random access memory (RAM), flash memory, read-only memory (ROM), programmable read-only memory (PROM), erasable programmable read-only memory (EPROM), electrically erasable programmable read-only memory (EEPROM), registers, hard disk, a removable disk, a compact disc read-only memory (CD-ROM), or any other form of non-transient storage medium known in the art. An exemplary storage medium is coupled to the processor such that the processor can read information from, and write information to, the storage medium. In the alternative, the storage medium may be integral to the processor. The processor and the storage medium may reside in an application-specific integrated circuit (ASIC). The ASIC may reside in a computing device or a user terminal. In the alternative, the processor and the storage medium may reside as discrete components in a computing device or user terminal. A storage device is not a signal.
The previous description of the disclosed aspects, examples, and/or implementations is provided to enable a person skilled in the art to make or use the disclosed aspects, examples, and/or implementations. Various modifications to these aspects, examples, and/or implementations will be readily apparent to those skilled in the art, and the principles defined herein may be applied to other aspects, examples, and/or implementations without departing from the scope of the disclosure. Thus, the present disclosure is not intended to be limited to the aspects, examples, and/or implementations shown herein but is to be accorded the widest scope possible consistent with the principles and novel features as defined by the following claims.

Claims (14)

What is claimed is:
1. A semiconductor device, comprising:
a portion of a silicon on insulator (SOI) wafer including a semiconductive layer and a buried oxide layer;
a channel structure comprising a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer, wherein the second oxide layer includes at least a portion of the buried oxide layer of the SOI wafer;
a first gate structure proximate to at least three sides of the channel structure, at least a portion of the first gate structure included in a plurality of trenches through the semiconductive layer and the buried oxide layer of the SOI wafer; and
a second gate structure proximate to at least a fourth side of the channel structure.
2. The semiconductor device of claim 1, further comprising a source region and a drain region, wherein the channel structure is between the source region and the drain region.
3. The semiconductor device of claim 1, wherein the first gate structure is in contact with the second gate structure.
4. The semiconductor device of claim 1, wherein the first gate structure and the second gate structure two-dimensionally surround the channel structure.
5. The semiconductor device of claim 1, wherein the first gate structure is not in contact with the second gate structure.
6. The semiconductor device of claim 5, further comprising a third oxide layer between the second gate structure and the first gate structure.
7. The semiconductor device of claim 1, wherein the second gate structure includes at least a portion that is located between opposing sides of the first gate structure.
8. The semiconductor device of claim 1, wherein the first oxide layer and the second oxide layer together two-dimensionally surround the channel region.
9. A semiconductor device, comprising:
a portion of a silicon on insulator (SOI) wafer including a semiconductive layer and a buried oxide layer;
a channel structure comprising a first oxide layer, a second oxide layer, and a channel region between the first oxide layer and the second oxide layer, wherein the second oxide layer includes at least a portion of the buried oxide layer of the SOI wafer;
a first gate structure proximate to at least a first side of the channel structure, at least a portion of the first gate structure included in a plurality of trenches through the semiconductive layer and the buried oxide layer; and
a second gate structure proximate to at least a second side of the channel structure and in contact with the first gate structure,
wherein together the first gate structure and the second gate structure two-dimensionally surround at least a portion of the channel structure.
10. The semiconductor device of claim 9, wherein the first oxide layer has a first thickness that is distinct from a second thickness of the second oxide layer.
11. The semiconductor device of claim 9, wherein the first side is opposite the second side.
12. The semiconductor device of claim 9, wherein the second gate structure includes at least a portion that is located between opposing sides of the first gate structure.
13. The semiconductor device of claim 9, wherein the first oxide layer and the second oxide layer together two-dimensionally surround the channel region.
14. The semiconductor device of claim 1, wherein the first gate structure is coupled to a carrier wafer, and wherein the semiconductive layer is between the buried oxide layer and the carrier wafer.
US15/879,109 2017-02-16 2018-01-24 Wrap-around gate structures and methods of forming wrap-around gate structures Active US10522687B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US15/879,109 US10522687B2 (en) 2017-02-16 2018-01-24 Wrap-around gate structures and methods of forming wrap-around gate structures
PCT/US2018/015243 WO2018151919A1 (en) 2017-02-16 2018-01-25 Wrap-around gate structures and methods of forming wrap-around gate structures
CN201880011916.3A CN110301049A (en) 2017-02-16 2018-01-25 Circulating type gate structure and the method for forming circulating type gate structure
EP18705040.6A EP3583629A1 (en) 2017-02-16 2018-01-25 Wrap-around gate structures and methods of forming wrap-around gate structures
TW107102874A TW201842553A (en) 2017-02-16 2018-01-26 Wrap-around gate structures and methods of forming wrap-around gate structures

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762459794P 2017-02-16 2017-02-16
US15/879,109 US10522687B2 (en) 2017-02-16 2018-01-24 Wrap-around gate structures and methods of forming wrap-around gate structures

Publications (2)

Publication Number Publication Date
US20180233600A1 US20180233600A1 (en) 2018-08-16
US10522687B2 true US10522687B2 (en) 2019-12-31

Family

ID=63105431

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/879,109 Active US10522687B2 (en) 2017-02-16 2018-01-24 Wrap-around gate structures and methods of forming wrap-around gate structures

Country Status (5)

Country Link
US (1) US10522687B2 (en)
EP (1) EP3583629A1 (en)
CN (1) CN110301049A (en)
TW (1) TW201842553A (en)
WO (1) WO2018151919A1 (en)

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60107862A (en) 1983-11-16 1985-06-13 Nippon Precision Saakitsutsu Kk Manufacture of mos type semiconductor device
US5583362A (en) 1993-09-17 1996-12-10 Mitsubishi Denki Kabushiki Kaisha Gate all around thin film transistor
US5804848A (en) 1995-01-20 1998-09-08 Sony Corporation Field effect transistor having multiple gate electrodes surrounding the channel region
US20040016968A1 (en) * 2002-04-08 2004-01-29 Stmicroelectronics S.A. Surround-gate semiconductor device encapsulated in an insulating medium
US6838322B2 (en) 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US7141854B2 (en) 2003-09-15 2006-11-28 Chartered Semiconductor Manufacturing Ltd. Double-gated silicon-on-insulator (SOI) transistors with corner rounding
FR2897981A1 (en) 2006-02-24 2007-08-31 St Microelectronics Crolles 2 Transistor e.g. planar transistor fabricating method for integrated circuit, involves forming electronically sensible resin layer disposed between two semiconductor fingers, and transforming resin layer for rendering resin layer dielectric
US20070278582A1 (en) * 2006-04-28 2007-12-06 International Business Machine Corporation Structure and method for implementing oxide leakage based voltage divider network for integrated circuit devices
US9136366B2 (en) 2013-01-16 2015-09-15 Commissariat A L'energie Atomique Et Aux Energies Alternatives Transistor with coupled gate and ground plane
WO2016209285A1 (en) 2015-06-26 2016-12-29 Intel Corporation Pseudomorphic ingaas on gaas for gate-all-around transistors
US9698267B2 (en) 2009-09-02 2017-07-04 Qualcomm Incorporated Fin-type device system and method
US20170194213A1 (en) 2015-12-30 2017-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Gate Device and Method of Fabrication Thereof
US20170200738A1 (en) 2016-01-11 2017-07-13 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6982460B1 (en) * 2000-07-07 2006-01-03 International Business Machines Corporation Self-aligned gate MOSFET with separate gates
EP1244142A1 (en) * 2001-03-23 2002-09-25 Universite Catholique De Louvain Fabrication method of SOI semiconductor devices
US9287358B2 (en) * 2014-03-21 2016-03-15 International Business Machines Corporation Stressed nanowire stack for field effect transistor
US9331146B2 (en) * 2014-06-11 2016-05-03 International Business Machines Corporation Silicon nanowire formation in replacement metal gate process

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60107862A (en) 1983-11-16 1985-06-13 Nippon Precision Saakitsutsu Kk Manufacture of mos type semiconductor device
US5583362A (en) 1993-09-17 1996-12-10 Mitsubishi Denki Kabushiki Kaisha Gate all around thin film transistor
US5804848A (en) 1995-01-20 1998-09-08 Sony Corporation Field effect transistor having multiple gate electrodes surrounding the channel region
US20040016968A1 (en) * 2002-04-08 2004-01-29 Stmicroelectronics S.A. Surround-gate semiconductor device encapsulated in an insulating medium
US6838322B2 (en) 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US7141854B2 (en) 2003-09-15 2006-11-28 Chartered Semiconductor Manufacturing Ltd. Double-gated silicon-on-insulator (SOI) transistors with corner rounding
FR2897981A1 (en) 2006-02-24 2007-08-31 St Microelectronics Crolles 2 Transistor e.g. planar transistor fabricating method for integrated circuit, involves forming electronically sensible resin layer disposed between two semiconductor fingers, and transforming resin layer for rendering resin layer dielectric
US20070278582A1 (en) * 2006-04-28 2007-12-06 International Business Machine Corporation Structure and method for implementing oxide leakage based voltage divider network for integrated circuit devices
US9698267B2 (en) 2009-09-02 2017-07-04 Qualcomm Incorporated Fin-type device system and method
US9136366B2 (en) 2013-01-16 2015-09-15 Commissariat A L'energie Atomique Et Aux Energies Alternatives Transistor with coupled gate and ground plane
WO2016209285A1 (en) 2015-06-26 2016-12-29 Intel Corporation Pseudomorphic ingaas on gaas for gate-all-around transistors
US20170194213A1 (en) 2015-12-30 2017-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Gate Device and Method of Fabrication Thereof
US20170200738A1 (en) 2016-01-11 2017-07-13 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
International Search Report and Written Opinion-PCT/US2018/015243-ISA/EPO-dated May 15, 2018.
International Search Report and Written Opinion—PCT/US2018/015243—ISA/EPO—dated May 15, 2018.

Also Published As

Publication number Publication date
US20180233600A1 (en) 2018-08-16
WO2018151919A1 (en) 2018-08-23
CN110301049A (en) 2019-10-01
TW201842553A (en) 2018-12-01
EP3583629A1 (en) 2019-12-25

Similar Documents

Publication Publication Date Title
US10903240B2 (en) Integrated circuits (ICs) on a glass substrate
US10439039B2 (en) Integrated circuits including a FinFET and a nanostructure FET
US10079293B2 (en) Semiconductor device having a gap defined therein
US9799560B2 (en) Self-aligned structure
US10043796B2 (en) Vertically stacked nanowire field effect transistors
US9412818B2 (en) System and method of manufacturing a fin field-effect transistor having multiple fin heights
US9698232B2 (en) Conductive cap for metal-gate transistor
US20160027726A1 (en) Semiconductor device having an airgap defined at least partially by a protective structure
CN107004680B (en) FinFET SRAM with strapped contacts
US9647037B2 (en) Resistive random access memory device with resistance-based storage element and method of fabricating same
US20160172456A1 (en) High resistance metal etch-stop plate for metal flyover layer
US10522687B2 (en) Wrap-around gate structures and methods of forming wrap-around gate structures
CN109155286B (en) Heterogeneous cell array

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: QUALCOMM INCORPORATED, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:VEDULA, RAVI PRAMOD KUMAR;FANELLI, STEPHEN ALAN;AZZAZY, FARID;REEL/FRAME:045023/0885

Effective date: 20180222

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT RECEIVED

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4