TWI611042B - 成膜方法及成膜裝置 - Google Patents

成膜方法及成膜裝置 Download PDF

Info

Publication number
TWI611042B
TWI611042B TW104113631A TW104113631A TWI611042B TW I611042 B TWI611042 B TW I611042B TW 104113631 A TW104113631 A TW 104113631A TW 104113631 A TW104113631 A TW 104113631A TW I611042 B TWI611042 B TW I611042B
Authority
TW
Taiwan
Prior art keywords
gas
film
raw material
gas supply
reaction
Prior art date
Application number
TW104113631A
Other languages
English (en)
Other versions
TW201604314A (zh
Inventor
熊谷武司
尾谷宗之
大久保和哉
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201604314A publication Critical patent/TW201604314A/zh
Application granted granted Critical
Publication of TWI611042B publication Critical patent/TWI611042B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一種成膜方法,具有下述製程:第1原料氣體供給製程,係對基板上供給包含第1金屬元素之第1原料氣體;第2原料氣體供給製程,係對該基板上供給包含第2金屬元素之第2原料氣體;以及反應氣體供給製程,係將包含有可和該第1金屬元素以及該第2金屬元素進行反應而分別生成第1反應產物以及第2反應產物之非金屬元素的反應氣體予以電漿化來供給於該基板上,生成包含該第1以及第2金屬元素以及該非金屬元素之第3反應產物;該第3反應產物所含該第1金屬元素之混合比率較該第2金屬元素來得高,該第2反應產物之結晶化溫度較該第1反應產物之結晶化溫度來得高。

Description

成膜方法及成膜裝置
本發明係關於一種成膜方法及成膜裝置。
以往已知有一種金屬氧化膜之改質方法,係在將形成於基板表面之金屬氧化膜利用O2氣體受電漿激發所產生之改質氣體做質處理的改質方法中,將改質處理時之基板溫度設定為從室溫到未達金屬氧化膜之結晶化溫度,之後,依必要性將金屬氧化膜加以結晶化。
此外,已知有一種金屬氧化膜之改質方法,係將改質處理時之基板溫度設定為金屬氧化膜之結晶化溫度以上,使得改質處理與結晶化處理同時進行。
但是,成膜之用途不限於電子電路之形成,也有為了製造圖案形成用之硬遮罩而進行成膜之情況。如此之情況,所需之技術將不是經結晶化而形成表面粗化的膜,乃是形成表面粗度小而具有平滑表面之膜。
是以,本發明係提供一種成膜方法及成膜裝置,可形成表面未結晶化之表面粗度小的膜。
本發明之一實施形態之成膜方法,具有下述製程:第1原料氣體供給製程,係對基板上供給包含第1金屬元素之第1原料氣體;第2原料氣體供給製程,係對該基板上供給包含第2金屬元素之第2原料氣體;以及反應氣體供給製程,係將包含有可和該第1金屬元素以及該第2金屬元素進行反應而分別生成第1反應產物以及第2反應產物之非金屬元素的反應氣體予以電漿化來供給於該基板上,生成包含該第1以及第2金屬元素以及該 非金屬元素之第3反應產物;其中該第3反應產物所含該第1金屬元素之混合比率較該第2金屬元素來得高,該第2反應產物之結晶化溫度較該第1反應產物之結晶化溫度來得高。
本發明之其他態樣之成膜裝置,具有:處理容器;旋轉台,設置於該處理容器內,可載置基板;第1以及第2處理區域,係於該旋轉台之上方沿著該旋轉台之旋轉方向相互分離設置;第1以及第2原料氣體供給部,係用以對該第1處理區域內個別供給種類不同的第1以及第2原料氣體而設者;反應氣體供給部,係用以對該第2處理區域內供給可和該第1以及第2原料氣體進行反應而分別生成第1以及第2反應產物的反應氣體而設者;以及電漿產生機構,使得該反應氣體電漿化。
1‧‧‧真空容器
2‧‧‧旋轉台
4‧‧‧凸狀部
5‧‧‧突出部
7‧‧‧加熱器單元
7a‧‧‧覆蓋構件
10‧‧‧電漿空間
11‧‧‧頂板
11a‧‧‧開口部
11b‧‧‧段部
11c‧‧‧溝槽
11d‧‧‧O型環
12‧‧‧容器本體
12a‧‧‧突出部
13‧‧‧密封構件
14‧‧‧底面部
15‧‧‧搬送口
20‧‧‧盒體
21‧‧‧核心部
22‧‧‧旋轉軸
23‧‧‧驅動部
24‧‧‧凹部
31,32,33,34‧‧‧噴嘴
35‧‧‧氣體噴出孔
41,42‧‧‧噴嘴
43‧‧‧溝槽部
44,45‧‧‧天花板面
51‧‧‧分離氣體供給管
61,62‧‧‧排氣口
63‧‧‧排氣管
64‧‧‧真空泵
65‧‧‧壓力調整部
71a‧‧‧置蓋構件
72,73‧‧‧沖洗氣體供給管
80‧‧‧電漿產生部
83‧‧‧天線
84‧‧‧匹配器
85‧‧‧高頻電源
86‧‧‧連接電極
90‧‧‧架框
90a‧‧‧凸緣部
91‧‧‧抵壓構件
92‧‧‧氣體限制用突起部
94‧‧‧絕緣板
95‧‧‧法拉第屏蔽件
95a‧‧‧水平面
95b‧‧‧垂直面
95x‧‧‧導電性板狀體
96‧‧‧支撐部
97‧‧‧狹縫
97a‧‧‧導電路
98‧‧‧開口部
99‧‧‧框狀體
100‧‧‧側環
101‧‧‧氣體流路
110‧‧‧曲徑構造部
120‧‧‧控制部
121‧‧‧記憶部
C‧‧‧中心部區域
D‧‧‧分離區域
G‧‧‧閘閥
P1‧‧‧第1處理區域
P2‧‧‧第2處理區域
W‧‧‧晶圓
所附圖式係當作本說明書之一部分加入以顯示本揭示之實施形態者,上述一般性說明以及後述實施形態之詳細均為說明本揭示之概念。
圖1係顯示本發明之實施形態之成膜裝置一例之縱截面圖。
圖2~圖3係顯示本發明之實施形態之成膜裝置一例之橫截面圖。
圖4係顯示本發明之實施形態之成膜裝置一例之內部一部分之分解立體圖。
圖5係顯示本發明之實施形態之成膜裝置一例之內部一部分之縱截面圖。
圖6係顯示本發明之實施形態之成膜裝置一例之內部一部分之立體圖。
圖7係顯示本發明之實施形態之成膜裝置一例之內部一部分之縱截面圖。
圖8係顯示本發明之實施形態之成膜裝置一例之內部一部分之俯視圖。
圖9係顯示本發明之實施形態之成膜裝置一例之法拉第屏蔽件之立體圖。
圖10係顯示本發明之實施形態之成膜裝置一例之法拉第屏蔽件之一部分之立體圖。
圖11係顯示本發明之實施形態之成膜裝置一例之側環之立體圖。
圖12係顯示本發明之實施形態之成膜方法之一例之氣流的示意圖。
圖13係顯示本發明之實施形態之成膜方法之一例之電漿產生之模樣的示意圖。
圖14係顯示本發明之實施例之成膜方法之實施結果之圖。
圖15係顯示本發明之實施例之成膜方法之實施結果之圖。圖15(a)為以往之成膜方法之實施結果。圖15(b)為本實施例之成膜方法之實施結果。
圖16係顯示本發明之實施例之成膜方法中,TiAlO膜中之Al量的TMA流量依存性之圖。
以下,參見圖式說明實施本發明之形態。首先,針對本發明之實施形態之一例的成膜裝置,參見圖1~圖12來說明。下述詳細說明中,係以可充分理解本揭示的方式給予了許多具體的詳細。但是,業界人士無如此詳細說明而可完成本揭示乃為自明事項。在其他例方面,為了避免難以理解各種實施形態,針對公知之方法、順序、系統與構成要素並未詳細顯示。
(成膜裝置)
如圖1以及圖2所示般,本發明之實施形態之成膜裝置具備有:真空容器1,平面形狀為大致圓形;以及旋轉台2,設置於此真空容器1內,為在該真空容器1之中心具有旋轉中心的載置台。此外,此成膜裝置如後面所詳述般,構成上係例如在直徑尺寸為300mm尺寸的晶圓W表面利用ALD法來積層反應產物而形成薄膜,並對此薄膜進行電漿改質。此時,在進行電漿改質之際,係以薄膜之表面不會因電漿而結晶化、粗化的方式來進行成膜程序,以可實現如此之成膜程序的方式構成了成膜裝置。以下,針對成膜裝置之各部詳述之。
真空容器1具備有頂板11以及容器本體12,頂板11可相對於容器本體12進行裝卸。於頂板11之上面側的中央部連接著用以供給N2(氮)氣體 做為分離氣體之分離氣體供給管51,以抑制互異之處理氣體彼此在真空容器1內之中心部區域C相混。於容器本體12之上面的周緣部係以環狀方式設置例如O型環等密封構件13。
旋轉台2係以中心部固定於大致圓筒形狀之核心部21,藉由連接於此核心部21之下面並往鉛直方向延伸的旋轉軸22而繞鉛直軸(此例中係繞順時鐘)旋轉自如。圖1中設置有驅動部23(使得旋轉軸22繞鉛直軸旋轉)以及盒體20(收納旋轉軸22以及驅動部23)。盒體20之上面側的凸緣部分係氣密安裝於真空容器1之底面部14之下面。此外,盒體20連接著用以對旋轉台2之下方區域供給N2氣體做為沖洗氣體的沖洗氣體供給管72。真空容器1之底面部14的核心部21外周側係以從下方側接近旋轉台2的方式形成為環狀而成為突出部12a。
如圖2以及圖3所示般,於旋轉台2之表面部係沿著旋轉方向(圓周向)設置有用以載置複數片(例如5片)基板亦即晶圓W之圓形狀凹部24來做為基板載置區域。凹部24之直徑尺寸以及深度尺寸的設定方式乃是當晶圓W落入(收納於)該凹部24之情況,則晶圓W之表面與旋轉台2之表面(未載置晶圓W之區域)會成為對齊。於凹部24之底面形成有貫通孔(未圖示),可使得用以將晶圓W從下方側上頂而進行升降之例如後述的3支升降銷貫通其中。
如圖2以及圖3所示般,在與旋轉台2之凹部24之通過區域分別對向之位置處,個別例如由石英所構成之6根噴嘴31、32、33、34、41、42係於真空容器1之圓周向(旋轉台2之旋轉方向)上相互保持間隔而配置成為放射狀。此等各噴嘴31、32、33、34、41、42係以例如從真空容器1之外周壁朝中心部區域C對向於晶圓W而水平延伸的方式個別被安裝著。此例中,從後述搬送口15觀看繞順時鐘(旋轉台2之旋轉方向)依序配置有電漿產生用氣體噴嘴34、分離氣體噴嘴41、第1處理氣體噴嘴31、第2處理氣體噴嘴32、分離氣體噴嘴42以及第3處理氣體噴嘴33。如圖1所示般,於電漿產生用氣體噴嘴34之上方側設置有電漿產生部80,以使得從電漿產生用氣體噴嘴34所噴出之反應氣體被電漿化。此電漿產生部80將於後詳述之。
處理氣體噴嘴31、32分別成為第1處理氣體供給部、第2處理氣體供給部,分離氣體噴嘴41、42分別成為分離氣體供給部。尤其,第1處理氣體供給部31以及第2處理氣體供給部32係分別供給第1原料氣體以及第2原料氣體,故也可稱為第1原料氣體供給部31以及第2原料氣體供給部32。此外,電漿產生氣體噴嘴34係供給和第1以及第2原料氣體起反應之反應氣體,故也可稱為反應氣體供給部34。處理氣體噴嘴33係因應於程序來供給氧化氣體、氮化氣體(例如氨氣體)等反應氣體而設置者,但由於本發明之實施形態之成膜方法的成膜程序為不要者,故和分離氣體噴嘴41、42同樣地供給屬惰性氣體之N2氣體。
此外,圖2係以可見到電漿產生用氣體噴嘴34的方式卸除了電漿產生部80以及後述架框90之狀態,圖3係顯示安裝著此等電漿產生部80以及架框90之狀態。此外,圖1中,針對電漿產生部80係示意性以一點鏈線來表示。
各噴嘴31、32、33、34、41、42係經由流量調整閥而分別連接於以下之各氣體供給源(未圖示)。亦即,第1處理氣體噴嘴31係連接於包含第1金屬元素之鈦(Ti)的第1處理氣體例如四氯化鈦(TiCl4)氣體等之供給源。第2處理氣體噴嘴32係連接包含第2金屬元素之鋁(Al)的例如三甲基鋁(TMA)氣體之供給源。第3處理氣體噴嘴33係連接於屬惰性氣體之氮(N2)氣體的供給源。電漿產生用氣體噴嘴34係連接於例如氬(Ar)氣體與氧(O2)氣體之混合氣體的供給源。分離氣體噴嘴41、42係分別連接於做為分離氣體之氮(N2)氣體的氣體供給源。
於噴嘴31、32、33,41、42之下面側係沿著旋轉台2之半徑方向在複數部位以例如等間隔形成有氣體噴出孔35(參見圖7)。於電漿產生用氣體噴嘴34之側面係以朝向旋轉台2之旋轉方向上游側(第2處理氣體噴嘴32側)且下方側(斜下)的方式沿著該電漿產生用氣體噴嘴34之長度方向在複數部位以例如等間隔形成有氣體噴出孔35(參見圖7)。
處理氣體噴嘴31、32之下方區域分別成為用以將含Ti氣體以及含Al氣體吸附於晶圓W之第1處理區域P1,電漿產生用氣體噴嘴34之下方區域成為使得吸附於晶圓W之含Ti氣體以及含Al氣體來和電漿化O2氣體進 行反應之第2處理區域P2。分離氣體噴嘴41、42分別用以形成將第1處理區域P1與第2處理區域P2加以分離之分離區域D。此分離區域D之真空容器1之頂板11係如圖2以及圖3所示般設有大致扇形之凸狀部4,分離氣體噴嘴41、42被收納於在此凸狀部4所形成之溝槽部43內。從而,於分離氣體噴嘴41、42之旋轉台2之圓周向兩側,為了阻止各處理氣體與反應氣體彼此混合而配置著屬凸狀部4之下面的低天花板面44(第1天花板面),於此天花板面44之圓周向兩側則配置著較該天花板面44來得高之天花板面45(第2天花板面)。凸狀部4之周緣部(真空容器1之外緣側部位)為了阻止各處理氣體與反應氣體彼此混合,乃以對向於旋轉台2之外端面並相對於容器本體12略為分離的方式彎曲成為L字形。
此處,於第1處理區域P1有用以將第1原料氣體之TiCl4氣體供給於晶圓W上之處理氣體噴嘴31與用以將第2原料氣體之TMA氣體供給於晶圓W上之處理氣體噴嘴32鄰接設置著。TiCl4氣體以及TMA氣體係同時在第1處理區域P1內被供給於晶圓W上,使得2種原料氣體被吸附於晶圓W上。然後,藉由在第2處理區域P2被電漿化之氧化氣體(例如O2氣體、O3氣體等)使得2種原料氣體受到氧化而生成TiAlO。如此般,本實施形態之成膜裝置為在生成合金膜上極為有利的構成。
此外,第1處理氣體噴嘴31與第2處理氣體噴嘴32可成為分別容易供給第1原料氣體、第2原料氣體之個別之構成。例如,供給TiCl4氣體之第1處理氣體噴嘴31可對應於旋轉台2之旋轉速度快的外側而根本上設置許多氣體噴出孔35,供給TMA氣體之第2處理氣體噴嘴則相反地可設置許多前端側之氣體噴出孔35。即便最終產物為合金膜之情況,相較於以1個處理氣體噴嘴來供給混合氣體,藉由將包含構成合金之金屬元素的原料氣體依種類別區分來個別設置,則可配合氣體特性來設定噴嘴形狀,可順利地進行適切原料氣體之供給。此處,噴嘴形狀包含氣體噴出孔35之大小(孔徑)、配置位置、分布密度等要素,此等要素可配合於氣體之種類、性質而做各種設定。
此外,噴嘴形狀可依據在晶圓W上所形成之膜之膜厚的面內傾向來決定分散之氣體噴出孔35之位置與個數。如此般,當將種類不同的原料氣體 從個別之氣體噴嘴31、32做供給之情況,可考慮所供給之氣體的性質、晶圓W上之面內膜厚等來構成為適切的噴嘴形狀。
其次,針對電漿產生部80詳述之。電漿產生部80係將金屬線所構成之天線83捲繞為線圈狀而構成,以相對於真空容器1之內部區域受到氣密區劃的方式設置於真空容器1之頂板11上。此例中,天線83係由例如在銅(Cu)表面依序施以鍍鎳以及鍍金之材質所構成。如圖4所示般,於已述電漿產生用氣體噴嘴34之上方側(詳而言之係從相對於此噴嘴34略為在旋轉台2之旋轉方向上游側之位置到相對於此噴嘴34之前述旋轉方向下游側之分離區域D略為靠近噴嘴34側之位置為止)的頂板11處係形成有以俯視觀看時為大致扇形開口之開口部11a。
此開口部11a係從相對於旋轉台2之旋轉中心分離例如60mm程度外周側之位置到相對於旋轉台2之外緣離開80mm程度外側之位置為止橫跨形成。此外,開口部11a係以不致干涉(避開)在真空容器1之中心部區域C所設後述曲徑構造部110的方式、並以平面觀看時旋轉台2之中心側的端部沿著該曲徑構造部110之外緣的方式凹陷為圓弧狀。此外,此開口部11a如圖4以及圖5所示般,從頂板11之上面側朝下面側以該開口部11a之開口徑以階段式變小的方式使得例如3段的段部11b沿著周向來形成。此等段部11b當中最下段之段部(邊緣部)11b的上面係如圖5所示般橫跨周向形成有溝槽11c,此溝槽11c內配置著密封構件之例如O型環11d。此外,溝槽11c以及O型環11d於圖4係省略圖示。
此開口部11a處如圖6所示般配置著架框90,此架框90係以上方側之周緣部在整個周向上以水平方式伸出成為凸緣狀而形成凸緣部90a、且中央部朝下方側之真空容器1之內部區域成為凹陷的方式來形成。此架框90為了使得於電漿產生部80所產生的磁場能到達真空容器1內而以例如石英等介電質的穿磁體(使得磁力穿透之材質)所構成,如圖10所示般,前述成為凹陷部分的厚度尺寸t為例如20mm。此外,此架框90之構成方式,當晶圓W位於該架框90之下方之時,中心部區域C側之架框90之內壁面與晶圓W之外緣之間的距離會成為70mm,而旋轉台2之外周側的架框90之內壁面與晶圓W之外緣之間的距離會成為70mm。從而,旋轉台2之旋轉方 向上游側以及下游側之開口部11a的2個邊與該旋轉台2之旋轉中心所成角度α為例如68°。
一旦此架框90陷入開口部11a內,則凸緣部90a與段部11b當中最下段的段部11b會相互卡固。此外,該段部11b(頂板11)與架框90係藉由O型環11d來氣密地連接。此外,藉由以沿著開口部11a外緣的方式形成為框狀之抵壓構件91將前述凸緣部90a往下方側在整個周向上做抵壓,並將此抵壓構件91以未圖示之螺釘等固定於頂板11,藉此使得真空容器1之內部雰圍被氣密設定。如此般將架框90氣密固定於頂板11之時,該架框90之下面與旋轉台2上之晶圓W表面之間的分離尺寸h為4~60mm(此例中成為30mm)。此外,圖6係顯示從下方側觀看架框90。此外,圖10中將架框90等之一部分加以放大描繪。
架框90之下面為了阻止N2氣體、O3氣體等侵入該架框90之下方區域,如圖5~圖7所示般,外緣部係在整個周向上往下方側(旋轉台2側)垂直伸出而成為氣體限制用突起部92。此外,此突起部92之內周面在被架框90之下面以及旋轉台2之上面所包圍的區域中係於旋轉台2之旋轉方向上游側收納了前述電漿產生用氣體噴嘴34。
亦即,由於在架框90之下方區域(電漿空間10)從電漿產生用氣體噴嘴34所供給之氣體被電漿化,一旦N2氣體侵入該下方區域,則N2氣體之電漿與O2氣體(O3氣體)之電漿會相互反應而生成NOx氣體。一旦產生此NOx氣體,則真空容器1內之構件會被腐蝕。是以,以N2氣體不易侵入架框90之下方區域的方式在該架框90之下面側形成前述突起部92。
電漿產生用氣體噴嘴34之基端側(真空容器1之側壁側)的突起部92係沿著該電漿產生用氣體噴嘴34之外形被切為大致圓弧狀。突起部92之下面與旋轉台2之上面之間的分離尺寸d為0.5~4mm(此例成為2mm)。此突起部92之寬度尺寸以及高度尺寸分別成為例如10mm以及28mm。此外,圖7係顯示沿著旋轉台2之旋轉方向來切斷真空容器1之縱截面圖。
此外,成膜處理中由於旋轉台2繞瞬時鐘做旋轉,故N2氣體會被此旋轉台2之旋轉所帶動而傾向於從旋轉台2與突起部92之間的間隙侵入架框90之下方側。是以,為了阻止N2氣體經由前述間隙朝架框90之下方側侵 入,乃對間隙朝架框90之下方側噴出氣體。具體而言,關於電漿產生用氣體噴嘴34之氣體噴出孔35,如圖5以及圖7所示般,係以朝向此間隙的方式、亦即朝向旋轉台2之旋轉方向上游側且下方的方式來配置。電漿產生用氣體噴嘴34之氣體噴出孔35相對於鉛直軸之朝向角度θ如圖7所示般為例如45°程度。
此處,若從架框90之下方(電漿空間10)側來觀看將頂板11與架框90之間的區域加以密封之前述O型環11d,則如圖5所示般,在該電漿空間10與O型環11d之間,突起部92係在整個周向上形成。是以,O型環11d可說是不會直接暴露於電漿的方式從電漿空間10受到隔離。從而,即便電漿空間10中之電漿打算朝例如O型環11d側進行擴散,由於會成為經由突起部92之下方而前行,故電漿會在到達O型環11d前即失活。
架框90之內部如圖4以及圖8所示般收納著上面側開口之大致箱型的法拉第屏蔽件95,此法拉第屏蔽件95係由厚度尺寸k為0.5~2mm(此例為例如1mm程度)之導電性板狀體95x(圖9)做為金屬板所構成,且處於接地狀態。此例中,法拉第屏蔽件95係藉由於銅(Cu)板或是銅板從下側鍍敷鎳(Ni)膜以及金(Au)膜之板材所構成。法拉第屏蔽件95具備有水平面95a(沿著架框90之底面以水平形成)與垂直面95b(從此水平面95a之外周端在整個周向上往上方側延伸),從上方側觀看時成為大致六角形。此水平面95a之大致中央部係形成大致八角形之開口部98做為窗部,用以確認從真空容器1之上方側經由絕緣板94以及架框90在該真空容器1內之電漿產生狀態(發光狀態)。法拉第屏蔽件95係藉由例如金屬板之壓延加工、或是將對應於金屬板之水平面95a外側的區域往上方側彎折所形成。此外,圖4係將法拉第屏蔽件95予以簡化,此外圖8中係切除垂直面95b之一部分來做描繪。
此外,從旋轉台2之旋轉中心觀看法拉第屏蔽件95時,右側以及左側之法拉第屏蔽件95之上端緣分別往右側以及左側水平伸出成為支撐部96。此外,於法拉第屏蔽件95與架框90之間設有框狀體99,將前述支撐部96從下方側加以支撐,並被架框90之中心部區域C側以及旋轉台2之外緣部側的凸緣部90a所分別支撐。從而,若將法拉第屏蔽件95收納至架框90 之內部,則法拉第屏蔽件95之下面與架框90之上面會相互接觸,且前述支撐部96經由框狀體99而被架框90之凸緣部90a所支撐。
於法拉第屏蔽件95之水平面95a上,為了和載置於該法拉第屏蔽件95之上方處的電漿產生部80取得絕緣,係積層著厚度尺寸為例如2mm程度之例如石英所構成之絕緣板94。此外,於水平面95a形成有多數的狹縫97,又各個狹縫97之一端側以及另一端側分別配置著導電路97a,關於此等狹縫97以及導電路97a之形狀與配置布局將和電漿產生部80之天線83之形狀一併詳述。此外,關於絕緣板94以及框狀體99,在後述圖8以及圖10等係省略了描繪。
電漿產生部80係以被收納於法拉第屏蔽件95之內側的方式所構成,從而如圖4以及圖5所示般,以經由架框90、法拉第屏蔽件95以及絕緣板94來面臨於真空容器1之內部(旋轉台2上之晶圓W)的方式受到配置。此電漿產生部80係以天線83將延伸於旋轉台2之半徑方向上的帶狀體區域加以包圍的方式使得該天線83繞鉛直軸(繞著從旋轉台2往電漿空間10垂直延伸之縱向軸)捲繞3圈,以平面觀看時係成為在旋轉台2之半徑方向延伸之大致細長八角形。從而,天線83係沿著旋轉台2上之晶圓W的表面來配置。
天線83係以當晶圓W位於電漿產生部80下方之時,可在此晶圓W之中心部區域C側的端部與旋轉台2之外緣側之端部整個之間照射(供給)電漿的方式,讓中心部區域C側之端部以及外周側之端部分別近接於架框90之內壁面來做配置。此外,旋轉台2之旋轉方向之電漿產生部80之兩端部係以在旋轉台2之旋轉方向的架框90之寬度尺寸儘可能變小的方式來相互近接配置著。亦即,架框90如前述般為了使得在電漿產生部80所產生的磁場能到達真空容器1內而藉由高純度石英所構成,並以從平面觀看時成為較天線83來得大尺寸的方式(石英構件位於整個天線83之下方側)來形成。從而,從平面觀看時之天線83之尺寸愈大,則該天線83下方側之架框90也必須愈大,於是裝置(架框90)之成本會變高。另一方面,關於天線83,若欲縮短例如旋轉台2之半徑方向的尺寸、具體而言打算將天線83配置於靠近中心部區域C側或是旋轉台2之外緣側的位置,則對於晶圓W所供給 之電漿量恐於面內成為不均一。是以,本發明中,為了對於晶圓W在整個面內均一供給電漿、且從平面觀看時儘可能縮小架框90之尺寸,係使得天線83在旋轉台2之旋轉方向上游側之部位以及下游側之部位彼此相互近接。具體而言,關於天線83以平面觀看為細長八角形,係使得長邊方向之尺寸成為例如290~330mm,和前述長邊方向成正交方向之尺寸成為例如80~120mm。此外,雖於天線83之內部形成有流通冷卻水之流路,但此處省略。
天線83係經由匹配器84而連接於頻率為例如13.56MHz以及輸出電力為例如5000W之高頻電源85。圖1、圖3以及圖4等之符號86乃用以將電漿產生部80與匹配器84以及高頻電源85做電性連接之連接電極。
此處,針對法拉第屏蔽件95之狹縫97,參見圖8以及圖9來詳述之。此狹縫97係阻止電漿產生部80所產生之電場以及磁場(電磁場)當中之電場成分朝下方晶圓W移動,並使得磁場到達晶圓W。亦即,若電場到達晶圓W,則於該晶圓W內部所形成之電氣配線有時會受到電氣損傷。另一方面,法拉第屏蔽件95如前述般係由接地狀態之金屬板所構成,故若不形成狹縫97,則不僅是電場連磁場也會被遮斷。此外,若於天線83之下方形成大的開口部,則不僅是磁場連電場也會通過。是以,為了遮斷電場而讓磁場通過,係如以下般形成設定了尺寸以及配置布局之狹縫97。
具體而言,狹縫97b如圖8所示般係以相對於天線83之捲繞方向朝正交方向延伸的方式在整個周向上分別形成於天線83之下方位置處。從而,例如在天線83之長邊方向(旋轉台2之半徑方向)之區域,狹縫97係沿著旋轉台2之切線方向以直線狀形成。此外,在與前述長邊方向為正交之區域,狹縫97係沿著該長邊方向形成。此外,前述2個區域間天線83呈彎曲之部分,狹縫97係以相對於該彎曲部分之天線83之延伸方向成為正交的方式來對旋轉台2之周向以及半徑方向分別朝傾斜方向形成。再者,於中心部區域C側以及旋轉台2之外緣部側,狹縫97係以儘可能發揮該狹縫97之配置區域的方式、亦即儘可能無間隙地來配置狹縫97的方式隨著從天線83之外周部側往內周部側而寬度尺寸變小地來形成。從而,狹縫97係沿著天線83之長度方向多數配置排列著。
此處,於天線83處如前述般連接著頻率為13.56MHz之高頻電源85,對應於此頻率之波長為22m。是以,狹縫97係以成為此波長之1/10000以下程度的寬度尺寸的方式如圖10所示般以寬度尺寸d1成為1~6mm(此例為2mm)、狹縫97,97間之分離尺寸d2成為2~8mm(此例為2mm)的方式來形成。此外,此狹縫97如前述圖8所示般當從平面觀看時係以長度尺寸L成為40~120mm(此例為分別為60mm)的方式從相對於該天線83之右端往右側分離30mm程度之位置到相對於天線83之左端往左側分離30mm程度之位置為止來形成。從而,個別狹縫97之長度方向的一端側以及另一端側可說是以沿著天線83之捲繞方向(長度方向)的方式分別形成成為法拉第屏蔽件95一部分的導電路97a,97a。換言之,於法拉第屏蔽件95係以個別狹縫97之長度方向的一端側以及另一端側分別未開放的方式、亦即封閉個別狹縫97之兩端部的方式設有導電路97a,97a。個別導電路97a,97a之寬度尺寸係成為例如1~4mm程度(此例為2mm)。關於設置此等導電路97a,97a之理由,先舉出在天線83之內側區域所形成之導電路97a為例來詳述如下。
狹縫97乃將由天線83所形成之電磁場當中的電場成分加以遮斷並使得磁場成分通過者,是以為了一方面將到達晶圓W側之電場成分加以遮斷、一方面儘可能確保最多的磁場成分,乃以儘可能長長地形成為佳。但是,如前述般為使得旋轉台2之旋轉方向之架框90之尺寸儘可能變小,天線83係成為大致細長八角形,天線83之旋轉台2之旋轉方向上游側的部位與旋轉台2之旋轉方向下游側的部位係相互接近。並且,於法拉第屏蔽件95之水平面95a,在被此天線83所包圍的區域中形成有用以確認電漿發光狀態之開口部98。因此,在天線83之內側區域難以截取狹縫97之長度尺寸L至可充分遮斷由天線83所形成之電場成分的程度。另一方面,若天線83之內側區域不設置導電路97a而嘗試增加狹縫97之長度尺寸L,則電場成分會經由狹縫97之開口部往晶圓W側漏出。是以,本發明中,為了遮斷打算經由前述內側區域而往晶圓W側漏出之電場成分,係以阻塞各個狹縫97之開口部的方式來設置導電路97a。從而,打算從前述內側區域往下方移動之電場成分會因為導電路97a而成為電力線封閉之狀態,往晶圓W側之侵入受到阻止。此外,關於天線83之外周側也同樣地設置導電路97a, 將打算從該外周側之狹縫97之端部漏出之電場成分加以遮斷。如此一來各個狹縫97從上方側觀看時係於整個周向被接地狀態之導電體所包圍。
此例中,天線83之內側區域中被導電路97a所包圍之區域(被狹縫97之群所包圍之區域)形成有前述開口部98。此外,例如作業者藉由目視或是未圖示之攝影機而經由此開口部98來確認真空容器1內之電漿發光狀態。此外,圖3中省略了狹縫97。此外,圖4以及圖5等中係簡化了狹縫97,但狹縫97係例如以150根程度形成。藉由以上說明之天線83、以及形成有狹縫97與導電路97a的法拉第屏蔽件95來構成電漿產生裝置。
接著,回到真空容器1之各部說明。於旋轉台2之外周側相較於該旋轉台2略為下方位置處係如圖2、圖5以及圖11所示般配置有做為蓋體之側環100。此側環100係例如裝置之潔淨時在取代各處理氣體改為使得氟系潔淨氣體流通之時,保護真空容器1之內壁不受該潔淨氣體之影響。亦即,可說是若未設置側環100,則在旋轉台2之外周部與真空容器1之內壁之間,橫向形成氣流(排氣流)之凹部狀氣流通路會在整個周向上形成為環狀。是以,此側環100係以真空容器1之內壁面儘可能不露出於氣流通路的方式設置於該氣流通路。此例中,各分離區域D以及架框90之外緣側區域係露出於此側環100之上方側。
於側環100之上面係以相互
Figure TWI611042BD00001
在周向上分離的方式於2部位形成有排氣口61,62。換言之,於前述氣流通路之下方側形成2個排氣口,在對應於此等排氣口之位置處的側環100形成有排氣口61,62。若此等2個排氣口61,62當中一者以及另一者分別稱為第1排氣口61以及第2排氣口62,則第1排氣口61係在第1處理氣體噴嘴31以及第2處理氣體噴嘴32與相對於第1處理氣體噴嘴31以及第2處理氣體噴嘴32位於旋轉台之旋轉方向下游側的分離區域D之間、在靠近分離區域D側之位置處形成。第2排氣口62係在電漿產生用氣體噴嘴34與相對於電漿產生用氣體噴嘴34位於旋轉台之旋轉方向下游側的分離區域D之間、在靠近分離區域D側之位置處形成。第1排氣口61係用以對第1處理氣體以及分離氣體進行排氣者,第2排氣口62除了對於第2處理氣體以及分離氣體、進而對於電漿產生用氣體進行排氣。此等第1排氣口61以及第2排氣口62如圖1所示般係分別藉由介 設有蝴型閥等壓力調整部65之排氣管63而連接於真空排氣機構之例如真空泵64。
此處,如前述般,由於從中心部區域C側到外緣側形成有架框90,故相對於此架框90在旋轉台2之旋轉方向上游側噴出之各氣體會因為該架框90而使得打算朝向第2排氣口62之氣體流受到限制。是以,於架框90之外側的前述側環100上面係形成有用以流經第2處理氣體以及分離氣體之溝槽狀氣體流路101。具體而言,此氣體流路101如圖3所示般,從相對於架框90在旋轉台2之旋轉方向上游側的端部往第3處理氣體噴嘴33側靠近例如60mm程度之位置起到前述第2排氣口62為止之間,以深度尺寸為例如30mm的方式形成為圓弧狀。從而,此氣體流路101係沿著架框90之外緣且從上方側觀看時係以橫跨該架框90之外緣部的方式來形成。此側環100雖省略圖示,但為了對氟系氣體具有耐腐蝕性,其表面係以例如氧化鋁等所塗佈、或是由石英蓋等所被覆著。
於頂板11之下面的中央部如圖2所示般設置有突出部5,係從凸狀部4之中心部區域C側的部位延伸在整個周向上形成為大致環狀,且其下面係形成為和凸狀部4之下面(天花板面44)為相同高度。相對於此突出部5在旋轉台2之旋轉中心側的核心部21之上方側配置有曲徑構造部110,以抑制第1處理氣體與第2處理氣體在中心部區域C相互混合。亦即,從圖1可知,由於架框90係形成至靠近中心部區域C側之位置,故支撐旋轉台2之中央部的核心部21係以旋轉台2之上方側部位避開架框90的方式形成於靠近前述旋轉中心側之位置處。從而,中心部區域C側相較於外緣部側可說是成為例如處理氣體彼此容易相混之狀態。是以,藉由形成曲徑構造部110,可增加氣體流路而防止處理氣體彼此相混。
如圖1所示般,於旋轉台2與真空容器1之底面部14之間的空間設置有做為加熱機構之加熱器單元7,經由旋轉台2而將旋轉台2上之晶圓W加熱至例如300℃。此外,如圖1所示般,於加熱器單元7之側方側設置蓋構件71a,覆蓋構件7a係覆蓋著加熱器單元7之上方側。此外,於真空容器1之底面部14、在加熱器單元7之下方側有用以對加熱器單元7之配置空間進行沖洗之沖洗氣體供給管73在整個周向上設置於複數部位。
於真空容器1之側壁,如圖2以及圖3所示般在未圖示之外部搬送臂與旋轉台2之間形成有用以進行晶圓W收授之搬送口15,此搬送口15係藉由閘閥G構成為可氣密式開閉自如。此外,旋轉台2之凹部24在面臨於此搬送口15之位置處係和搬送臂之間進行晶圓W之收授,故於旋轉台2下方側對應於該收授位置之部位設置有用以貫通凹部24而將晶圓W從內面上舉之收授用升降銷及其升降機構(均未圖示)。
此外,此成膜裝置設有用以進行裝置全體動作之控制的由電腦所構成之控制部120,於此控制部120之記憶體內儲藏有用以進行後述成膜處理以及改質處理之程式。此程式係以可實行後述裝置動作的方式組入步驟群,從硬碟、光碟、光磁碟、記憶卡、軟碟等記憶媒體亦即記憶部121安裝於控制部120內。
(成膜方法)
其次,針對使用上述成膜裝置之本發明之實施形態之成膜方法做說明。此外,本實施形態之成膜方法不限於上述成膜裝置所實施者,也可適用於其他ALD裝置、使用氣體以及低溫電漿來進行成膜之其他成膜裝置,為便於理解起見,針對使用上述成膜裝置之成膜方法來說明。
首先,本實施形態之成膜方法所提供之方法在可用於圖案形成用之硬遮罩等之加工性良好,且可形成表面不會結晶化而粗化之膜。從而,並非一般電子電路所用之特定了膜種類之成膜,而是重視製造加工性良好之膜。此外,此處所說的加工性意指加工容易程度,例如蝕刻加工性意指蝕刻加工容易程度。
一般,TiO2膜之蝕刻加工性良好,做為硬遮罩為適切的膜。TiO2膜之結晶化溫度為160℃程度,對於溫度設定在60~80℃程度之低溫電漿而言,理論上應該不會到達結晶化溫度,但是有時受到電漿能量之影響會於成膜時實質達到結晶化溫度,造成TiO2膜之表面結晶化,從而表面粗化。是以,可形成良好膜之條件限於狹窄範圍,於旋轉台之設定旋轉速度等會出現限制。為了用於硬遮罩,以表面為非晶質之無定形狀態為佳,若為無定形狀態,則膜之表面為平滑,成為加工性優異之膜。從而,以無定形狀態來形 成TiO2膜為最佳,但常常使用電漿之膜之改質也不可或欠。此外,若使用電漿,恐TiO2膜之實質結晶化溫度會降低,而形成粗化之膜。
另一方面,Al2O3膜之結晶化溫度為300~400℃程度,相較於TiO2膜之結晶化溫度為2~3倍之溫度,為非常高的溫度。是以,本發明之實施形態之成膜方法中,係混合結晶化溫度高的Al2O3膜來生成TiAlO之混合膜,藉以防止表面結晶化,形成無定形狀態之膜而形成表面平滑之膜。此處,Al2O3膜為蝕刻加工性不太良好之膜,但若相較於TiO2膜為少的混合比率,則可一面維持良好的蝕刻加工性、一面形成無定形狀態之合金膜。
如此般,本發明之實施形態之成膜方法係於雖加工性良好但結晶化溫度低導致在使用電漿之改質程序中會結晶化的TiO2膜中混合加工性差但結晶化溫度高之Al2O3膜,可生成一種可一邊提高結晶化溫度防止膜表面出現結晶化、一邊維持良好加工性之合金膜。
以下,針對使用上述成膜裝置來形成如此之合金膜之方法做說明。
首先,開放閘閥G,一邊使得旋轉台2做間歇性旋轉、一邊藉由未圖示之搬送臂而經由搬送口15將例如5片的晶圓W載置於旋轉台2上。其次,關閉閘閥G,藉由真空泵64使得真空容器1內成為抽真空狀態,並一邊使得旋轉台2繞順時鐘旋轉、一邊以加熱器單元7將晶圓W加熱至例如60~80℃程度。晶圓W之溫度可藉由使用電漿而設定於較不使用電漿之程序來得更低溫度。藉此,可設定為較TiO2膜之結晶化溫度亦即160℃程度更低的溫度,但藉由電漿能量將實質上具有和TiO2膜之表面被加熱至160℃以上為同樣的效果。
旋轉台2之旋轉速度雖依程序而不同,但例如形成TiAlO膜之情況可設定為30~240rpm之範圍。若旋轉速度過慢,電漿之照射時間會變得過長恐怕膜之損傷會變大,另一方面,若旋轉速度過快,則原料氣體無法充分附著於晶圓W上而有覆蓋性變差之虞。是以,旋轉台2之旋轉速度以設定為電漿之照射時間不會過長且不會降低覆蓋性之適切旋轉速度為佳。
接著,從處理氣體噴嘴31、32分別噴出TiCl4氣體以及TMA氣體,並從電漿產生用氣體噴嘴34噴出Ar氣體以及O2氣體之混合氣體。此外,從處理氣體噴嘴33噴出N2氣體。同樣地,從分離氣體噴嘴41、42以既定流 量噴出分離氣體,從分離氣體供給管51以及沖洗氣體供給管72、73也以既定流量噴出N2氣體。此外,藉由壓力調整部65將真空容器1內調整為預先設定之處理壓力。此外,對電漿產生部80供給高頻電力。
於第1處理區域P1,由於TiCl4氣體以及TMA氣體係從處理氣體噴嘴31、32被同時供給,故此等氣體會附著於晶圓W表面。此外,原料氣體係選擇包含做為第1金屬元素之Ti的原料氣體(處理氣體)、以及包含做為第2金屬元素之Al的原料氣體(處理氣體)。此等原料氣體,做為Al氧化物之Al2O3的結晶溫度較做為Ti氧化物之TiO2的結晶溫度來得高。此外,TiO2膜之蝕刻加工性優於Al2O3膜,故以TiO2膜為主,以TiO2膜較Al2O3膜有更高混合比率的方式來供給。從而,一般而言,從處理氣體噴嘴31所供給之TiCl4氣體的流量會較從處理氣體噴嘴32所供給之TMA氣體的流量來得多,但於最終產物之TiAlO膜中只要設定為Ti較Al有更高混合比率,則TMA氣體之流量高於TiCl4氣體之流量亦無妨。
此時,相對於架框90從旋轉台2之旋轉方向上游側例如被旋轉台2之旋轉所帶動而朝架框90流通而來的N2氣體係因著此架框90而有氣體流紊亂之趨勢。但是,由於在架框90之外周側的側環100形成有氣體流路101,故N2氣體係以避開架框90的方式通過該氣體流路101而被排氣。
另一方面,從架框90之上游側朝架框90流通而來的氣體當中一部分的氣體會打算侵入架框90之下方。但是,於架框90之下方側區域,突起部92係以覆蓋該區域的方式形成,且電漿產生用氣體噴嘴34之氣體噴出孔35朝向旋轉台2之旋轉方向上游側的斜下方。從而,從電漿產生用氣體噴嘴34噴出之電漿產生用氣體會衝擊於突起部92之下方側,將打算從上游側流入之N2氣體趕出到此架框90之外側。此外,此電漿產生用氣體受到突起部92之影響而朝旋轉台2之旋轉方向下游側被推回去。此時,藉由設置突起部92,則架框90之下方之電漿空間10相較於真空容器1內之其他區域會成為例如10Pa程度正壓。此亦可阻止N2氣體侵入架框90之下方側。
再者,由於在第1處理區域P1與第2處理區域P2之間供給N2氣體,如圖12所示般,以含Ti氣體以及含Al氣體與電漿產生用氣體不相互混合的方式將各氣體排氣。此外,由於對旋轉台2之下方側供給沖洗氣體,故 打算朝旋轉台2之下方側擴散的氣體會藉由沖洗氣體而被推回排氣口61,62側。
此時,電漿產生部80係藉由從高頻電源85所供給之高頻電力而如圖13示意顯示般地產生電場以及磁場。此等電場以及磁場當中的電場如前述般由於設有法拉第屏蔽件95故被此法拉第屏蔽件95所反射或是吸收(衰減),前往真空容器1內會被阻礙(遮斷)。此外,打算從狹縫97之長度方向之一端側以及另一端側回繞至晶圓W側的電場,由於在一端側以及另一端側設有導電路97a,97a,故會於法拉第屏蔽件95以例如熱的形式被吸收而阻礙其前往晶圓W側。另一方面,由於在法拉第屏蔽件95形成有狹縫97,故磁場會通過此狹縫97而經由架框90之底面到達真空容器1內。此外,於電漿產生部80之側方側的法拉第屏蔽件95(垂直面95b)並未於整個周向上形成狹縫97,故電場以及磁場不會經由側方側回繞至下方側。
從而,從電漿產生用氣體噴嘴34噴出之電漿產生用氣體會被經由狹縫97而通過之磁場所活性化,生成例如離子、自由基等電漿。如前述般,由於以包圍在旋轉台2之半徑方向上延伸之帶狀體區域的方式配置有天線83,故此電漿在天線83之下方側係以延伸於旋轉台2之半徑方向的方式成為大致線狀。此外,圖13中示意顯示了電漿產生部80,關於電漿產生部80、法拉第屏蔽件95、架框90以及晶圓W之間各尺寸係以示意方式大略描繪。
另一方面,晶圓W之表面會藉由旋轉台2之旋轉而於第1處理區域P1吸附TiCl4氣體以及TMA氣體,其次於第2處理區域P2,吸附於晶圓W上之TiCl4氣體以及TMA氣體會被氧化並進行改質處理,而形成1層或是複數層之做為薄膜成分的TiAlO之分子層以形成反應產物。具體而言,經電漿化之氧化氣體被供給於晶圓W表面,藉此,吸附於晶圓W表面的Ti元素以及Al元素受到氧化,同時電漿會衝擊晶圓W表面,藉此,例如從TiAlO膜釋放雜質、或是TiAlO膜內之元素再排列而謀求TiAlO膜之緻密化(高密度化)。此時,若為單獨的TiO2膜,TiO2膜之表面會因為電漿的能量而實質達到結晶化溫度而發生結晶化,但由於混合著結晶化溫度高的Al2O3膜,故整體之TiAlO膜不會結晶化,為在非晶質狀態下進行成膜。
如此般使得旋轉台2之旋轉持續,則含Ti氣體以及含Al氣體吸附至晶圓W表面、吸附於晶圓W表面之含Ti氣體以及含Al氣體之成分的氧化以及反應產物之電漿改質會在未發生表面結晶化的前提下依此順序進行數次,而積層無定形狀態之反應產物來形成薄膜。此處,如前述般於晶圓W內部形成有電氣配線構造,但由於在電漿產生部80與晶圓W之間設置法拉第屏蔽件95來遮斷電場,故對於此電氣配線構造之電氣損傷受到抑制。
(實施例)
其次,針對本發明之實施例之成膜方法來說明。
圖14係顯示本發明之實施例之成膜方法之實施結果圖。此外,晶圓W之溫度係設定於80℃。圖14中,虛線A、B、C分別表示當使用批次式直立型熱處理爐來進行TiO2膜之單膜成膜之際,膜表面的算術平均粗度Ra、平方平均粗度Rq以及最大粗度Rmax。直立型熱處理爐係一種將100片程度的晶圓W收容於直立型熱處理爐中,一邊供給處理氣體一邊進行熱處理而進行成膜之成膜裝置。使用此直立型熱處理爐,以150℃之溫度來進行熱處理以形成TiO2單膜之結果,算術平均粗度Ra=0.220nm,平方平均粗度Rq=0.281nm,最大粗度Rmax=3.01nm。
此外,特性線D、E、F係顯示使用本實施形態之成膜裝置來形成TiO2單膜之情況之實施結果。於此情況,算術平均粗度Ra、平方平均粗度Rq、最大粗度Rmax在旋轉台2之旋轉速度為60~240rpm之範圍內均較虛線A、B、C來得低,而形成了平滑膜。但是,當旋轉速度為30rpm之情況,算術平均粗度Ra以及平方平均粗度Rq相較於直立型熱處理爐得到了低表面粗度之結果,但關於最大粗度Rmax則是較直立型熱處理爐大出甚多,成為2倍程度數值的粗度。粗度項目當中即便是1部位若有粗的部位則無法做為遮罩使用,故重要項目為最大粗度Rmax之項目。是以,若使用本實施形態之成膜裝置來實施以往之成膜方法,則於旋轉速度30rpm將無法得到良好結果。
另一方面,點G、H、I係顯示本實施例之成膜方法之實施結果。使用本實施形態之成膜裝置,將旋轉台2之旋轉速度定為30rpm來形成TiAlO膜之結果,算術平均粗度Ra、平方平均粗度Rq以及最大平均粗度Rmax 之總體項目相較於直立型熱處理爐以及本實施形態之成膜裝置所進行以往程序結果可得到低粗度之良好結果。是以,藉由本實施例之成膜方法之實施,可在廣範圍的旋轉速度條件下來形成表面平滑且良好的TiAlO膜。
圖14中,即使是使用本實施形態之成膜裝置之TiO2膜之單膜成膜,在旋轉速度60~240rpm之範圍可得到良好結果,但晶圓W溫度在更高條件下,則恐會出現粗度增加之旋轉速度的範圍。即便是於該情況,只要實施本實施例之成膜方法,即可於廣範圍的條件下來形成表面粗度低的良好膜。
圖15顯示將圖14之旋轉台2之旋轉速度設定為30rpm時之實施結果圖。圖15(a)為以往之成膜方法之實施結果,圖15(b)為本實施例之成膜方法之實施結果。
圖15(a)中,膜表面之粗度醒目,即使是粗度數據也會發現算術平均粗度Ra=0.159nm,平方平均粗度Rq=0.271nm,最大粗度Rmax=6.31nm。
另一方面,圖15(b)中,膜表面變得極細,即使是粗度數據也可得到算術平均粗度Ra=0.143nm,平方平均粗度Rq=0.181nm,最大粗度Rmax=2.62nm之結果,任一項目的粗度均較以往之成膜方法來得降低。尤其,最大粗度Rmax之粗度可成為一半以下,可實現大幅的改善。
此外,此TiAlO膜之Ti與Al之混合比為〔Ti〕:〔Al〕=1:0.85,Ti相較於Al之混合比率來得大。藉此,膜之加工性也可被良好保持。
圖16係顯示TiAlO膜中之Al量的TMA流量依存性之圖。橫軸表示TMA氣體之流量,縱軸表示TiAlO膜中之Al元素相對於Ti元素與Al元素之合計量的混合比(〔Al〕/(〔Ti〕+〔Al〕))。此外,TiCl4氣體之流量定為50sccm。
如圖16所示般,TMA氣體之流量在0~130sccm之範圍內以廣範圍變化,但TiAlO膜中之Al的混合比率在TMA氣體流量為20~80sccm之範圍係落於41~45%之間,即便TMA氣體流量為80~130sccm之範圍也落在45~47%,不論流量變化,均落在41~47%程度之大致一定的範圍內。
是以,可知不論TMA氣體流量之大小,本實施例之成膜方法均可實施。
到目前為止,係舉出第1原料氣體使用含金屬元素Ti之TiCl4氣體、第2原料氣體使用含金屬元素Al之TMA氣體來形成TiAlO膜之例做說明, 但即便是其他金屬彼此之組合也可適用本發明。此外,不光是氧化膜,即使是氮化膜、溴化膜、碳化膜等非金屬元素與合金之組合,本發明也可適用於各種組合。
依據本發明可形成表面無結晶化之平滑膜。
本次所揭示之實施形態其所有的點均為例示而非限制性條件。實際上,上述實施形態能以多種形態呈現。此外,上述實施形態可在不超脫所附申請專利範圍及其主旨的前提下,以各種形態進行省略、置換、變更。本發明之範圍意圖包含所附申請專利範圍及其均等涵義以及範圍內之所有變更。
此揭示係基於2014年5月1日提出申請之日本專利申請第2014-094683號之優先權利益,該日本申請的全部內容係做為參考文獻納入本說明書中。
2‧‧‧旋轉台
4‧‧‧凸狀部
5‧‧‧突出部
12‧‧‧容器本體
15‧‧‧搬送口
31,32,33,34‧‧‧噴嘴
41,42‧‧‧噴嘴
61,62‧‧‧排氣口
80‧‧‧電漿產生部
83‧‧‧天線
84‧‧‧匹配器
85‧‧‧高頻電源
86‧‧‧連接電極
90‧‧‧架框
91‧‧‧抵壓構件
95‧‧‧法拉第屏蔽件
96‧‧‧支撐部
99‧‧‧框狀體
100‧‧‧側環
101‧‧‧氣體流路
C‧‧‧中心部區域
D‧‧‧分離區域
G‧‧‧閘閥
W‧‧‧晶圓

Claims (15)

  1. 一種成膜方法,具有下述製程:第1原料氣體供給製程,係對基板上供給包含第1金屬元素之第1原料氣體;第2原料氣體供給製程,係對該基板上供給包含第2金屬元素之第2原料氣體;以及反應氣體供給製程,係將包含有可和該第1金屬元素以及該第2金屬元素進行反應而分別生成第1反應產物以及第2反應產物之非金屬元素的反應氣體予以電漿化來供給於該基板上,生成包含該第1以及第2金屬元素以及該非金屬元素之第3反應產物;該第3反應產物所含該第1金屬元素之混合比率較該第2金屬元素來得高,該第2反應產物之結晶化溫度較該第1反應產物之結晶化溫度來得高。
  2. 如申請專利範圍第1項之成膜方法,其中該第1反應產物較該第2反應產物來得容易加工。
  3. 如申請專利範圍第2項之成膜方法,其中該加工為蝕刻加工。
  4. 如申請專利範圍第1項之成膜方法,其中該非金屬元素係選自由氧、氮、溴以及碳所構成群中任一者。
  5. 如申請專利範圍第1項之成膜方法,其中該基板係設定在較該第1反應產物之結晶化溫度來得低之既定基板溫度。
  6. 如申請專利範圍第5項之成膜方法,其中該第2金屬元素係即使因電漿化影響造成該第2反應產物之結晶化溫度實質降低,以該既定基板溫度不會結晶化之元素。
  7. 如申請專利範圍第1項之成膜方法,其中該基板係載置於旋轉台上;該第1原料氣體供給製程以及該第2原料氣體供給製程係於第1處理區域同時進行;該反應氣體供給製程係在可藉由旋轉該旋轉台而移動該基板之第2處理區域進行。
  8. 如申請專利範圍第7項之成膜方法,其中該旋轉台係連續性旋轉,使得在該第1處理區域所進行之該第1原料氣體供給製程以及該第2原料氣體供給製程、以及在該第2處理區域所進行之該反應氣體供給製程連續性交互反覆。
  9. 如申請專利範圍第8項之成膜方法,其中該第1處理區域與該第2處理區域係沿著該旋轉台之旋轉方向來分離設置,且於該第1處理區域與該第2處理區域之間設置可供給惰性氣體之分離區域;於該第1原料氣體供給製程以及該第2原料氣體供給製程與該反應氣體供給製程之間進而設有對該基板上供給該惰性氣體之分離製程。
  10. 如申請專利範圍第1項之成膜方法,其中該第1原料氣體與該第2原料氣體係從不同氣體供給機構來被個別供給。
  11. 如申請專利範圍第1項之成膜方法,其中該第1原料氣體係包含Ti之TiCl4氣體,該第2原料氣體係包含Al之TMA氣體,該反應氣體係氧化氣體。
  12. 如申請專利範圍第1項之成膜方法,其中該第3反應產物可利用做為圖案化用之硬遮罩。
  13. 一種成膜裝置,具有:處理容器;旋轉台,設置於該處理容器內,可載置基板;第1以及第2處理區域,係於該旋轉台之上方沿著該旋轉台之旋轉方向相互分離設置;第1以及第2原料氣體供給部,係用以對該第1處理區域內個別供給種類不同的第1以及第2原料氣體而設者;反應氣體供給部,係用以對該第2處理區域內供給可和該第1以及第2原料氣體進行反應而分別生成第1以及第2反應產物的反應氣體而設者;以及電漿產生機構,使得該反應氣體電漿化。
  14. 如申請專利範圍第13項之成膜裝置,其中該第1以及第2原料氣體供給部為第1以及第2原料氣體噴嘴; 該第1以及第2原料氣體噴嘴分別具有適合於供給該第1以及第2原料氣體之噴嘴形狀。
  15. 如申請專利範圍第14項之成膜裝置,其中該第1以及第2原料氣體噴嘴之噴嘴孔大小、配置以及分布密度之至少1者不同。
TW104113631A 2014-05-01 2015-04-29 成膜方法及成膜裝置 TWI611042B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014-094683 2014-05-01
JP2014094683A JP6243290B2 (ja) 2014-05-01 2014-05-01 成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
TW201604314A TW201604314A (zh) 2016-02-01
TWI611042B true TWI611042B (zh) 2018-01-11

Family

ID=54354841

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104113631A TWI611042B (zh) 2014-05-01 2015-04-29 成膜方法及成膜裝置

Country Status (5)

Country Link
US (1) US9551068B2 (zh)
JP (1) JP6243290B2 (zh)
KR (1) KR101933260B1 (zh)
CN (1) CN105039929B (zh)
TW (1) TWI611042B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102297567B1 (ko) * 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
DE102015118215A1 (de) * 2014-11-28 2016-06-02 Aixtron Se Substrathaltevorrichtung mit vereinzelten Tragvorsprüngen zur Auflage des Substrates
JP6545094B2 (ja) 2015-12-17 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
US10458016B2 (en) * 2015-12-25 2019-10-29 Tokyo Electron Limited Method for forming a protective film
JP6680190B2 (ja) * 2016-11-14 2020-04-15 東京エレクトロン株式会社 成膜装置
WO2018213295A1 (en) * 2017-05-15 2018-11-22 Tokyo Electron Limited In-situ selective deposition and etching for advanced patterning applications
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
JP7402715B2 (ja) * 2020-03-06 2023-12-21 東京エレクトロン株式会社 ウエハを処理する方法
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060043504A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Atomic layer deposited titanium aluminum oxide films
US20120264281A1 (en) * 2011-04-12 2012-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a plurality of gate structures
US20120276305A1 (en) * 2011-03-30 2012-11-01 Jani Hamalainen Atomic layer deposition of metal phosphates and lithium silicates

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4449226B2 (ja) 2000-05-22 2010-04-14 東京エレクトロン株式会社 金属酸化膜の改質方法、金属酸化膜の成膜方法及び熱処理装置
JP3670628B2 (ja) * 2002-06-20 2005-07-13 株式会社東芝 成膜方法、成膜装置、および半導体装置の製造方法
JP3913203B2 (ja) * 2003-08-28 2007-05-09 松下電器産業株式会社 半導体装置
JP2009049068A (ja) * 2007-08-14 2009-03-05 Elpida Memory Inc キャパシタ及びその製造方法とキャパシタの製造装置及び半導体記憶装置
JP2009048742A (ja) * 2007-08-22 2009-03-05 Fujitsu Ltd 光学ヘッドおよび情報記憶装置
JP5062144B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 ガスインジェクター
US9297072B2 (en) * 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP2010129983A (ja) * 2008-12-01 2010-06-10 Tokyo Electron Ltd 成膜装置
JP5141607B2 (ja) * 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
JP2010248624A (ja) * 2009-03-27 2010-11-04 Tokyo Electron Ltd 金属窒化膜の成膜方法および記憶媒体
JP2011066345A (ja) * 2009-09-18 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理システム
JP5482196B2 (ja) * 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5886531B2 (ja) * 2011-02-24 2016-03-16 東京エレクトロン株式会社 成膜方法および成膜装置
JP2013135126A (ja) * 2011-12-27 2013-07-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
JP2013151722A (ja) * 2012-01-25 2013-08-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP6040609B2 (ja) * 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
JP6042160B2 (ja) * 2012-10-03 2016-12-14 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5864503B2 (ja) * 2013-09-30 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US20150255267A1 (en) * 2014-03-09 2015-09-10 Tokyo Electron Limited Atomic Layer Deposition of Aluminum-doped High-k Films
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060043504A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Atomic layer deposited titanium aluminum oxide films
US20120276305A1 (en) * 2011-03-30 2012-11-01 Jani Hamalainen Atomic layer deposition of metal phosphates and lithium silicates
US20120264281A1 (en) * 2011-04-12 2012-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a plurality of gate structures

Also Published As

Publication number Publication date
KR101933260B1 (ko) 2018-12-27
TW201604314A (zh) 2016-02-01
CN105039929A (zh) 2015-11-11
JP2015213108A (ja) 2015-11-26
US20150315705A1 (en) 2015-11-05
KR20150126284A (ko) 2015-11-11
US9551068B2 (en) 2017-01-24
JP6243290B2 (ja) 2017-12-06
CN105039929B (zh) 2019-08-20

Similar Documents

Publication Publication Date Title
TWI611042B (zh) 成膜方法及成膜裝置
JP5644719B2 (ja) 成膜装置、基板処理装置及びプラズマ発生装置
KR101885411B1 (ko) 기판 처리 방법 및 기판 처리 장치
KR101536805B1 (ko) 성막 장치, 성막 방법 및 기억 매체
JP5857896B2 (ja) 成膜装置の運転方法及び成膜装置
KR101563777B1 (ko) 성막 장치 및 성막 방법
US9932674B2 (en) Film deposition apparatus, film deposition method, and computer-readable recording medium
KR20180109724A (ko) 플라스마 생성 방법 및 이를 이용한 플라스마 처리 방법, 그리고 플라스마 처리 장치
JP5712889B2 (ja) 成膜装置及び基板処理装置
JP5861583B2 (ja) 成膜装置及び成膜方法
JP6135455B2 (ja) プラズマ処理装置及びプラズマ処理方法
TWI612175B (zh) 電漿處理裝置及電漿處理方法
KR20180028937A (ko) 안테나 장치 및 이것을 사용한 플라즈마 발생 장치, 및 플라즈마 처리 장치
WO2011040465A1 (ja) プラズマ処理装置及びこれに用いる遅波板
TWI618121B (zh) 成膜裝置
TWI672393B (zh) 成膜方法
TWI841941B (zh) 電漿產生單元及以該電漿產生單元處理基板的設備
JP5728565B2 (ja) プラズマ処理装置及びこれに用いる遅波板
JP5725911B2 (ja) 基板処理装置及び半導体装置の製造方法