TWI559458B - 嵌入式非揮發性記憶體與其製作方法 - Google Patents

嵌入式非揮發性記憶體與其製作方法 Download PDF

Info

Publication number
TWI559458B
TWI559458B TW103136668A TW103136668A TWI559458B TW I559458 B TWI559458 B TW I559458B TW 103136668 A TW103136668 A TW 103136668A TW 103136668 A TW103136668 A TW 103136668A TW I559458 B TWI559458 B TW I559458B
Authority
TW
Taiwan
Prior art keywords
layer
gate
substrate
dielectric layer
forming
Prior art date
Application number
TW103136668A
Other languages
English (en)
Other versions
TW201537689A (zh
Inventor
吳常明
吳偉成
曾元泰
劉世昌
蔡嘉雄
李汝諒
莊學理
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201537689A publication Critical patent/TW201537689A/zh
Application granted granted Critical
Publication of TWI559458B publication Critical patent/TWI559458B/zh

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53271Conductive materials containing semiconductor material, e.g. polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42328Gate electrodes for transistors with a floating gate with at least one additional gate other than the floating gate and the control gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • H01L29/7883Programmable transistors with only two possible levels of programmation charging by tunnelling of carriers, e.g. Fowler-Nordheim tunnelling
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Description

嵌入式非揮發性記憶體與其製作方法
本發明係有關於一種非揮發性記憶體及其製造方法,且特別是有關於一種嵌入式非揮發性記憶體及其製造方法。
藉由嵌入非揮發性記憶體於先進邏輯電路中,可以進一步強化應用於行動裝置應用程式的先進邏輯電路之功能與效能。然而,非揮發性記憶體與先進邏輯電路的製程整合,仍有一些問題需要克服。
因此,本發明提供三種不同的方法,以降低字元線與抹除閘極的頂面,所以字元線與抹除閘極具有低於控制閘極之頂面的頂面。再者,介電覆蓋層形成於字元線與抹除閘極的頂面,介電間隙壁形成於字元線的側壁。所以,當進行自動對準矽化製程同時在非揮發記憶體區域及28HPM邏輯區域時,字元線與抹除閘極並沒有暴露出其表 面,所以沒有金屬矽化物形成於字元線及抹除閘極上。因此,在化學機械研磨期間,沒有金屬矽化物可以延伸已造成漏電流及短路問題。
本發明的某些實施方式提供一種非揮發性記憶體,且非揮發性記憶體包含下列元件。至少二閘極堆疊位在一基底上,其中每一閘極堆疊從底部到頂部依序包含一穿隧氧化層,一浮動閘極,一中間介電層,一控制閘極及一罩幕層。第一間隙壁位於二閘極堆疊的側壁。一閘極介電層位於暴露的基底。一抹除閘極位於二閘極堆疊之間,且具有一非平面頂面,其不高於控制閘極的頂面。二字元線位於二閘極堆疊的外側,且具有非平面頂面,其不高於控制閘極頂面。覆蓋層分別位於抹除閘極及字元線上。
本發明的某些其他實施方式提供一形成非揮發記憶體的方法。形成二閘極堆疊於一基底上,其中每一閘極堆疊從底部到頂部依序包含一穿隧氧化層,一浮動閘極,一中間介電層,一控制閘極及一罩幕層。形成第一間隙壁於二閘極堆疊的側壁上。形成一閘極介電層於暴露的基底上。同時形成一抹除閘極介於二閘極堆疊之間,及二字元線位於二閘極堆疊外側,其中抹除閘極及二字元線具有不高於控制閘極之頂面的頂面。形成複合覆蓋層分別於抹除閘極及字元線的頂面上。
本發明某些其他實施方式提供一種形成一非揮發性記憶體的方法。形成二閘極堆疊於一基底上,其中每一閘極堆疊從底部到頂部依序包含一穿隧氧化層,一浮動閘 極,一中間介電層,一控制閘極及一罩幕層。形成第一間隙壁於二閘極堆疊的側壁。形成一閘極介電層於暴露的基底上。依序形成一多晶矽層及一有機層於基底上,其中多晶矽層具有一厚度,其小於穿隧氧化層、浮動閘極、中間介電層以及控制閘極的總厚度,且有機層具有一高於閘極堆疊之頂面的頂面。無選擇性地蝕刻有機層及多晶矽層,直到多晶矽層的頂面不高於控制閘極的頂面。移除殘留的有機層。形成一第一介電層在基底之上。非等向性蝕刻第一介電層及其下的多晶矽層直到暴露出基底。蝕刻多晶矽層,以形成一抹除閘極介於二閘極堆疊之間、以及形成字元線位於二閘極堆疊的外側上,且蝕刻第一介電層以形成第一覆蓋層在字元線與抹除閘極上。
本發明某些其他實施方式提供一種形成一非揮發性記憶體的方法。形成二閘極堆疊於一基底上,其中每一閘極堆疊從底部到頂部依序包含一穿隧氧化層,一浮動閘極,一中間介電層,一控制閘極及一罩幕層。形成第一間隙壁於二閘極堆疊的側壁。形成一閘極介電層於暴露的基底上。依序形成一多晶矽層及一第一介電層於基底上,其中多晶矽層具有一厚度,其小於穿隧氧化層、浮動閘極、中間介電層以及控制閘極的總厚度。非等向性蝕刻第一介電層及其下的多晶矽層直到暴露出基底。蝕刻多晶矽層,以形成一抹除閘極介於二閘極堆疊之間、以及形成字元線位於二閘極堆疊的外側上,且蝕刻第一介電層以形成第一覆蓋層在字元線與抹除閘極上。形成一有機層於暴露的基 底上。蝕刻暴露的字元線與暴露的抹除閘極,直到抹除閘極與字元線具有低於控制閘極之頂面的頂面。移除有機層。形成一第二介電層於基底之上。非等向性蝕刻第二介電層,以形成第二間隙壁在字元線的外側壁、以及形成第二覆蓋層於字元線及抹除閘極上。
100、200、300‧‧‧基底
102、102a、302a‧‧‧穿隧氧化層
104‧‧‧多晶矽帶
104a、204a、304a‧‧‧浮動閘極
106、106a、306a‧‧‧中間介電層
108、208、308‧‧‧控制閘極
110、210、310‧‧‧罩幕層
112‧‧‧第一間隙壁
114、214、314‧‧‧閘極堆疊
116a、216a、316a‧‧‧第一緩衝層
116b、216b、316b‧‧‧閘極氧化層
118、218、318‧‧‧第二間隙壁
120、220、320‧‧‧共同源極
121‧‧‧圖案化光阻層
122、222、322‧‧‧第三多晶矽層
122a、222a、322a‧‧‧字元線
122b、222b、322b‧‧‧抹除閘極
124‧‧‧第四介電層
124a‧‧‧第一側覆蓋層
124b、226b、328b‧‧‧第一中間覆蓋層
126、324‧‧‧有機層
128、224、326‧‧‧第二緩衝層
130a、230a、332a‧‧‧第三間隙壁
130b‧‧‧第二側覆蓋層
130c、230b、332b‧‧‧第二中間覆蓋層
132‧‧‧金屬矽化物層
134、234、336‧‧‧蝕刻終止層
136、236、338‧‧‧低介電係數介電層
226a、328a‧‧‧側覆蓋層
228、330‧‧‧第三緩衝層
334‧‧‧金屬矽化物
藉由後述之詳細說明並伴隨相關圖式,本說明書的各方面可以被充分了解。然必須強調的是,根據本技術領域的標準實務,許多特徵無法依照規定比例繪出。事實上,為了更清楚地討論,許多特徵的尺寸被任意增加或減少。
第1A-1H圖為根據本發明某些實施方式的一種嵌入式非揮發性記憶體製程的剖面圖。
第2A-2D圖為根據本發明某些其他實施方式的一種嵌入式非揮發性記憶體製程的剖面圖。
第3A-3D圖為根據本發明某些其他實施方式的一種嵌入式非揮發性記憶體製程的剖面圖。
圖式、示意圖及圖表係用以闡釋之用,並非用以限制本發明,其為本發明實施方式之實例,為了闡明而簡化,並非依照比例繪示。
後續本揭露書將提供諸多不同實施方式,或實例,用以實施所提及主題內容的各種特徵。以下所描述元件與 配置的特定實例,係用以簡化本發明。想當然爾,這些元件和配置僅為示例,並非用以限制本發明。舉例而言,後續當一第一特徵被表示為「形成在一第二特徵上」,可能包含第一特徵與第二特徵以直接接觸的方式形成的實施方式,也可能包含額外的一個特徵形成於第一特徵與第二特徵之間的實施方式,藉此第一特徵與第二特徵可能並非直接接觸。此外,本說明書中,在各實例中對照的數字及/或文字可能會重複。這些重複係以簡化與更清楚說明為目的,數字及/或文字本身並非意指在各實施方式及/或所討論組態間的關係。
另外,空間指向用詞,例如「下方」,「在其上」,「較下方」,「在其上」,「較上方」等,係用以描述一裝置或一特徵與另一裝置或另一特徵,在圖式上的關係。值得注意的是,空間指向用詞,也可以涵蓋圖式所繪示裝置的指向以外之裝置的不同指向。裝置可以以不同指向翻轉(轉90度或其他方向),此時空間指向用詞可以同理地因應詮釋。
用於行動裝置應用程式中,非揮發性記憶體與先進邏輯電路的製程整合之其中一個問題,係歸因於當形成金屬矽化物於邏輯區域的源極/汲極上時,在非揮發性記憶體區域中,字元線及抹除閘極頂面會形成金屬矽化物。在化學機械研磨製程後,字元線頂面的金屬矽化物會散佈於整個晶圓,因此產生短路及漏電流等問題。因此,本發明提出一種新的非揮發記憶體製造製程,可以與應用於行動裝置應用程式中的先進邏輯製程整合,並解決上述問題。根 據本發明的多個實施方式,非揮發記憶體可以是一堆疊閘極記憶體。
第1A-1H圖為根據本發明的某些實施方式一種嵌入式非揮發性記憶體製程的剖面圖。在第1A-1H圖中,第1E圖中所形成的非揮發性記憶體之字元線122a及抹除閘極122b,在第1F圖中將回蝕。因此,字元線122a及抹除閘極122b的頂面不高於控制閘極108的頂面,以避免在字元線122a及抹除閘極122b的頂面形成金屬矽化物。
在第1A圖中,一穿隧氧化層102及一第一多晶矽層依序形成於一基底100上,而基底100具有隔離結構形成於其中(未繪示於第1A圖中)。接著,圖案化第一多晶矽層以形成複數個多晶矽帶104平行於圖面。舉例而言,上述之隔離結構可以是淺溝渠隔離結構(Shallow Trench Isolations,STIs)。穿隧氧化層102可以藉由一熱氧化製程而形成。第一多晶矽層例如可以藉由化學氣相沈積法形成,且接著以微影及伴隨著乾蝕刻進行圖案化,以形成複數個多晶矽帶104。第一多晶矽層厚度可以介於150-300埃,例如200埃。
接下來,一中間介電層106,一第二多晶矽層,及一第一介電層,依序形成於基底100上,以覆蓋複數個多晶矽帶104及穿隧氧化層102。舉例而言,中間介電層106可以包含一底部氧化矽層,一中間氮化矽層,以及一頂部氧化矽層。底部及頂部氧化矽層可在溫度介於800~1200°C,以熱氧化形成,並伴隨1000℃的退火製程,且例如可 具有40埃的厚度。中間氮化矽層可以藉由低壓化學氣相沈積(Low Pressure Chemical Vapor Deposition,LPCVD)形成,例如可以具有80埃的厚度。第二多晶矽層可以藉由化學氣相沈積法形成,且具有介於300~600埃的厚度,例如是250埃。第一介電層之材質可以是氮化矽,其由低壓化學氣相沈積法形成,且具有介於1000~1500埃之厚度,例如1300埃。
接著,圖案化第一介電層及第二介電層,以分別形成罩幕層110及控制閘極108。圖案化的方法可以藉由微影及伴隨的乾蝕刻施行。在蝕刻第二多晶矽層期間,罩幕層110可以作為一蝕刻罩幕層。
在第1B圖中,一第二介電層形成於基底100上,以共形地覆蓋罩幕層110、控制閘極108與及中間介電層106。接著,非等向性蝕刻第二介電層,以形成第一間隙壁112,其在罩幕層110與控制閘極108的側壁上。隨後,蝕刻暴露出的中間介電層106,多個多晶矽帶104及其下的穿隧氧化層102,以形成中間介電層106a,浮動閘極104a以及穿隧氧化層102a,而於基底100上形成閘極堆疊114。第二介電層可以包含一底部氧化矽層,一中間氮化矽層,以及一頂部氧化矽層。
在第1C圖中,一第一緩衝層116a及一第三介電層依序形成於基底100上,以共形地覆蓋閘極堆疊114暴露出的表面以及暴露出的基底100。舉例而言,第一緩衝層116a可以為一氧化矽層,以化學氣相沈積法形成。舉例而 言,第三介電層可以為一氮化矽層,藉由低壓化學氣相沈積法形成。接著非等向性蝕刻第三介電層,以形成第二間隙壁118於閘極堆疊114的側壁。非等向性蝕刻可以藉由乾蝕刻施行。
當第三介電層及暴露出的矽層之間的晶格錯配很明顯時,上述第一緩衝層116a通常用來釋放第三介電層及暴露出的矽層之間產生的應變。舉例而言,暴露的矽層包含在第1C圖中的浮動閘極104a及基底100。然而,如果第三介電層及暴露出的矽層之間的晶格錯配並非如此明顯,以產生明顯的應變,則第一緩衝層116a可以省略。
接著,藉由結合旋轉塗佈,曝光,顯影製程,以形成一圖案化光阻層121,並暴露出基底100的共同源極區域。然後離子摻植進入暴露的基底100以形成共同源極120。隨後,移除圖案化光阻層121所暴露的第二間隙壁118,且移除方法例如可以藉由乾蝕刻或濕蝕刻進行。在移除暴露的第二間隙壁118期間,第一緩衝層116a可以同時消耗,最後暴露出共同源極120。
在第1D圖中,移除圖案化光阻層121,且移除方法可以例如藉由溶劑剝除或電漿灰化施行。接著,形成一閘極氧化層116b以覆蓋暴露的基底100,亦即共同源極120。閘極氧化層116b可以藉由熱氧化形成。
接著,一第三多晶矽層122及一第四介電層124依序形成於基底100上。第三多晶矽層122的厚度小於穿隧氧化層102a、浮動閘極104a、中間介電層106a以及控制 閘極108的總厚度,厚度範圍例如介於約400埃至約600埃之間。第四介電層124的厚度介於約200埃至約400埃的範圍。第四介電層124的材質可以為氧化矽,例如藉由低壓化學氣相沈積法形成。
在第1E圖中,非等向性蝕刻第四介電層124,以形成第一側覆蓋層124a在字元線122a上,以及第一中間覆蓋層124b在抹除閘極122b上,且此蝕刻停止於第三多晶矽層122上。隨後,非等向性蝕刻暴露的第三多晶矽層122,以形成字元線122a鄰接第二間隙壁118,以及抹除閘極122b在共同源極120上,且蝕刻停止於第一緩衝層116a上。上述非等向性蝕刻可以藉由乾蝕刻施行。
請注意,由於第一緩衝層116a相當薄,在蝕刻第三多晶矽層122的期間,第一緩衝層116a暴露的部分,可以很容易地被蝕刻移除,以暴露出其下方的基底100。因此,一有機材料旋轉塗佈在基底100上,以形成一有機層126覆蓋基底100暴露的頂面,以保護暴露的基底100。同時,由於字元線122a與抹除閘極122b暴露出的頂面被蝕刻而具有一凹陷的頂面,有機材料也可以旋轉塗佈在字元線122a及抹除閘極122b的頂面。另外,覆蓋基底100的有機層126厚度,較厚於覆蓋字元線122a及抹除閘極122b的有機層126厚度,以提供基底100較佳的保護。上述的有機材料可以是光阻,或其他可以旋轉塗佈的有機高分子,在隨後字元線122a及抹除閘極122b蝕刻期間,保護暴露出的基底100。
在第1F圖中,蝕刻有機層126,以暴露出字元線122a及抹除閘極122b的頂面,而基底100的頂面依然覆蓋有機層126。接著,暴露的字元線122a及暴露的抹除閘極122b,藉由等向性乾蝕刻選擇性地回蝕,以避免損害由氮化矽製成的第二間隙壁118、第一側覆蓋層124a以及第一中間覆蓋層124b。因此,字元線122a及抹除閘極122b頂面的高度較低。之後,在基底100上的殘留有機層126,例如可藉由溶劑剝除而移除。
根據某些實施方式,上述等向性乾蝕刻,可以藉由一電感耦合電漿多晶蝕刻機施行。蝕刻電漿的來源可以包含5-50sccm的六氟化硫,與100-600sccm的載體氣體的混合氣體,且載體氣體可以是氬或氦。反應腔中的壓力可以加至3-50mTorr,而電感耦合電漿的功率可以加至200-600W。另外,偏壓可以加至0-100V。由於六氟化硫係作為蝕刻電漿的來源,所以乾蝕刻可以是等向性的。
根據某些其他實施方式,上述的乾蝕刻可以藉由一化學乾蝕刻機施行。化學乾蝕刻機配備一遠端電漿源,以降低產生電漿的動能致大約零。因此,一等向性蝕刻可以施行以降低高動能電漿造成的損害。在化學乾蝕刻(Chemical Dry Etching,CDE)製程中,電漿源可以包含CxHyFz與氧的混合物。混合氣體的總流量可以是300-800sccm,且CxHyFz與氧的的流量比可以為0.5-1.5。CxHyFz可以是二氟甲烷(CH2F2)、三氟甲烷(CHF3)、四氟化碳(CF4)、六氟乙烷(C2F6)、八氟丙烷(C3F8)、六氟丁二烯(C4F6) 或八氟環戊烯(C5F8)。反應腔的壓力可以是200-500mTorr。矽對氮化矽的蝕刻選擇率約3-10,因此第二間隙壁118、第一側覆蓋層124a以及第一中間覆蓋層124b的損害可以有效地降低。
在第1G圖中,一第二緩衝層128及一第五介電層依序形成於基底100以覆蓋基底100上的結構。接著非等向性蝕刻第五介電層,以形成第三間隙壁130a在字元線122a的側壁、第二側覆蓋層130b在字元線122a的頂面、及第二中間覆蓋層130c在抹除閘極122b上。同時,由於第二緩衝層128有一點薄,當蝕刻第五介電層的期間,暴露的第二緩衝層128也會蝕刻移除。第二緩衝層128可以是氧化矽層,以化學氣相沈積法形成。第五介電層可以是氮化矽層,以低壓化學氣相沈積法形成。舉例而言,非等向性蝕刻可以藉由乾蝕刻進行。同樣地,當第五介電層與暴露的矽層之間的晶格錯配不明顯,不會產生明顯的應變,則第二緩衝層128可以省略。
隨後,進行一自動對準矽化製程,在非揮發記憶體區域與邏輯區域上,矽材料暴露的表面上形成金屬矽化物。因此,金屬矽化物將形成在基底100及其他多晶矽層暴露的表面上。請注意由於字元線122a及抹除閘極122b暴露的表面已被第二緩衝層128、第一側覆蓋層124a、第一中間覆蓋層124b、第三間隙壁130a、第二側覆蓋層130b以及第二中間覆蓋層130c覆蓋,因此沒有金屬矽化物會形成於字元線122a及抹除閘極122b的頂面。在非揮發性記 憶體區域,金屬矽化物層132只會形成在基底100暴露的表面,以作為汲極。
在第1H圖中,一蝕刻終止層134形成於基底100上,以共形地覆蓋在基底100上的結構。舉例而言,蝕刻終止層134的材質可以是氮化矽,並以低壓化學氣相沈積法形成。接著,一低介電係數介電層136形成於基底100上,以覆蓋形成於基底100上的結構。隨後進行一化學機械研磨(CMP)製程,以研磨整個晶圓,去除低介電係數介電層136的上部,且化學機械研磨停止在罩幕層110上。因此,罩幕層110的厚度進一步縮減。
低介電係數介電層136的材質可以由一介電材料製成,此介電材料具有介電常數低於二氧化矽的介電常數(亦即一低介電係數介電材料)。一般低介電係數材料包含掺雜氟的氧化矽、掺雜碳的氧化矽、多孔二氧化矽、多孔掺雜碳氧化矽、旋轉塗佈有機聚合物介電材料(例如聚醯亞胺polyimide,聚降冰片烯polynorbornenes,苯併環丁烯benzocyclobutene,或聚四氟乙烯polytetrafluoroethylene)、旋轉塗佈矽基聚合物介電材料(例如氫矽倍半氧烷hydrogen silsesquioxane(HSQ)及甲基倍半矽氧烷methylsilsesquioxane(MSQ))。
第2A-2D圖為根據本發明某些其他實施方式的一種嵌入式非揮發性記憶體製程的剖面圖。由於第2A圖之前的製程與第1A-1C圖的製程相似,其圖式與詳細說明,在此不再贅述。另外,第2A圖中的標號與第1C圖中的標號 加上100,代表相同或類似的元件,例如第2A圖中的第二間隙壁218與第1C圖中的第二間隙壁118為相同或類似的元件,而且第2A圖中標號的意義,代表相同或相似的元件,因此不再贅述。在第2A-2D圖中,第1D圖中的第三多晶矽層122及第四介電層124,僅由第2A圖中的第三多晶矽層222所取代,且蝕刻第三多晶矽層222以形成字元線222a及抹除閘極222b,其具有不高於第2B圖中的控制閘極208之頂面的頂面,以避免在字元線222a及抹除閘極222b頂面形成金屬矽化物。第2A-2D圖的詳細說明敘述如下。
在移除第1C圖中的光阻層121之後,接著移除在第2A圖中暴露出的第一緩衝層216a。暴露出的第一緩衝層216a的移除方法,例如可以是濕蝕刻。生長一閘極氧化層216b以覆蓋基底200、浮動閘極204a、及共同源極220暴露出的表面。閘極氧化層216b的形成方法,可以藉由熱氧化進行。接著,形成一第三多晶矽層222以覆蓋基底200,且第三多晶矽層222的厚度大於閘極堆疊214的總厚度。根據某些實施方式,第三多晶矽層222的厚度介於約1800埃至約2200埃之間。
在第2B圖中,非等向性蝕刻第三多晶矽層222,直到暴露出基底200,以形成字元線222a及抹除閘極222b。然後,一第二緩衝層224及一第四介電層依序形成於基底200上。非等向性蝕刻第四介電層,以形成側覆蓋層226a於字元線222a上、以及第一中間覆蓋層226b於抹除閘極222b上,且在第四介電層蝕刻期間,暴露出的第二緩衝層224同時消耗。接著,利用側覆蓋層226a作為蝕刻 罩幕,進一步蝕刻暴露出的字元線222a,以修飾字元線222a的外形。至於抹除閘極222b,由於抹除閘極222b上的第四介電層較厚,在字元線222a回蝕期間,抹除閘極222b始終未被蝕刻。
在第2C圖中,一第三緩衝層228及一第五介電層依序形成在基底200上。非等向性蝕刻第五介電層以形成第三間隙壁230a在字元線222a的側壁、以及一第二中間覆蓋層230b在抹除閘極222b上。在蝕刻第五介電層期間,暴露出的第三緩衝層228會同時消耗。第三緩衝層228可以是氧化矽層,並以化學氣相沈積法形成。第五介電層可以為氮化矽層,並以低壓化學氣相沈積法形成。同樣地,如果第五介電層與暴露的矽層之間的應力不會太高,則第三緩衝層228可以被省略。
接著,進行一自動對準矽化製程,以在非揮發記憶體區域與邏輯區域的矽材料暴露的表面上,形成金屬矽化物。因此,基底200及其他多晶矽層暴露的表面,將有金屬矽化物形成於其上。請注意由於字元線222a及抹除閘極222b的上表面並未暴露,因此不會有金屬矽化物形成於字元線222a及抹除閘極222b的頂部。
在第2D圖中,一蝕刻終止層234形成於基底200上,以共形地覆蓋基底200上的結構。舉例而言,蝕刻終止層234的材質可以是氮化矽。接著,一低介電係數介電層236形成於基底200之上,以覆蓋形成於基底200上的結構。隨後進行一化學機械研磨製程,研磨整個晶圓以去 除低介電係數介電層236的上部,且化學機械研磨終止於罩幕層210上。因此,罩幕層210的厚度進一步縮減。低介電係數介電層236的材質類似低介電係數介電層136,因此在此不再贅述。
第3A-3D圖為根據本發明某些其他實施方式的一種嵌入式非揮發性記憶體製程的剖面圖。由於第3A圖之前的製程與第1A-1C圖的製程相似,其圖式與詳細說明,在此不再贅述。另外,第3A圖中的標號與第1C圖中的標號加上200,代表相同或類似的元件,例如第3A圖中的第二間隙壁318與第1C圖中的第二間隙壁118為相同或類似的元件,而且第3A圖中標號的意義,代表相同或相似的元件,因此不再贅述。在第3A-3D圖,第2A圖中的第三多晶矽層222,由第3A圖中的一第三多晶矽層322及一有機層324所取代。因此,無選擇性地回蝕有機層324及第三多晶矽層322,以殘留第三多晶矽層322具有一不高於第3B圖中控制閘極308之頂面的頂面。所以,可以避免金屬矽化物形成於字元線322a及抹除閘極322b的頂面。第3A-3D圖的詳細說明敘述如下。
在移除第1C圖中的光阻層121之後,接著移除在第3A圖中暴露出的第一緩衝層316a。暴露出的第一緩衝層316a的移除方法,例如可以是濕蝕刻。生長一閘極氧化層316b以覆蓋基底300、浮動閘極304a、及共同源極320暴露出的表面。閘極氧化層316b的形成方法,可以藉由熱氧化進行。接著,形成一第三多晶矽層322及一有機層324以覆蓋基底300。第三多晶矽層322的厚度小於穿隧氧化層302a、浮動閘極304a、中間介電層306a以及控制閘極308 的總厚度,例如介於約400埃至約600埃的範圍之間。有機層324頂面高於閘極堆疊314的頂面。因此,根據某些實施方式,有機層324厚度可以介於約1000埃至約1500埃之間。
在第3B圖中,無選擇性地蝕刻第三多晶矽層322及有機層324,直到第三多晶矽層322的頂面低於控制閘極308的頂面。根據某些實施方式,剩餘之第三多晶矽層322的厚度介於約600埃至約800埃的範圍內。在此步驟中,形成一抹除閘極322b。然後,移除殘留的有機層324,且可以藉由電漿灰化進行移除。
然後,一第二緩衝層326及一第四介電層依序形成於基底300之上,以覆蓋基底300上的結構。非等向性蝕刻第四介電層,以形成側覆蓋層328a於字元線322a上、以及第一中間覆蓋層328b於抹除閘極322b上,且在第四介電層蝕刻期間,暴露出的部分第二緩衝層326同時消耗。接著,利用側覆蓋層328a作為蝕刻罩幕,進一步蝕刻暴露出的第三多晶矽層322,以形成字元線322a。第二緩衝層326可以是氧化矽層,並以化學氣相沈積法形成。第四介電層可以為氮化矽層,並以低壓化學氣相沈積法形成。同樣地,如果第四介電層與暴露的矽層之間的應力不會太高,則第二緩衝層326可以被省略。
在第3C圖中,一第三緩衝層330及一第五介電層依序形成在基底300上。非等向性蝕刻第五介電層以形成第三間隙壁332a在字元線322a的側壁、以及一第二中間 覆蓋層332b在抹除閘極322b上。在蝕刻第五介電層期間,暴露出的第三緩衝層330會同時消耗。第三緩衝層330可以是氧化矽層,並以化學氣相沈積法形成。第五介電層可以為氮化矽層,並以低壓化學氣相沈積法形成。同樣地,如果第五介電層與暴露的矽層之間的應力不會太高,則第三緩衝層330可以被省略。
接著,進行一自動對準矽化製程,以在非揮發記憶體區域與邏輯區域的矽材料暴露的表面上,形成金屬矽化物334。因此,基底300及其他多晶矽層暴露的表面,將有金屬矽化物334形成於其上。請注意由於字元線322a及抹除閘極322b的上表面並未暴露,因此不會有金屬矽化物形成於字元線322a及抹除閘極322b的頂部。
在第3D圖中,一蝕刻終止層336形成於基底300上,以共形地覆蓋基底300上的結構。舉例而言,蝕刻終止層336的材質可以是氮化矽。接著,一低介電係數介電層338形成於基底300之上,以覆蓋形成於基底300上的結構。隨後進行一化學機械研磨製程,研磨整個晶圓以去除低介電係數介電層338的上部,且化學機械研磨終止於罩幕層310上。因此,罩幕層310的厚度進一步縮減。低介電係數介電層338的材質類似低介電係數介電層136,因此在此不再贅述。
因此,本發明提供三種不同的方法,以降低字元線與抹除閘極的頂面,所以字元線與抹除閘極具有低於控制閘極之頂面的頂面。再者,介電覆蓋層形成於字元線與抹 除閘極的頂面,介電間隙壁形成於字元線的側壁。所以,當進行自動對準矽化製程同時在非揮發記憶體區域及28HPM邏輯區域時,字元線與抹除閘極並沒有暴露出其表面,所以沒有金屬矽化物形成於字元線及抹除閘極上。因此,在化學機械研磨期間,沒有金屬矽化物可以延伸已造成漏電流及短路問題。
本發明的某些實施方式提供一種非揮發性記憶體,且非揮發性記憶體包含下列元件。至少二閘極堆疊位在一基底上,其中每一閘極堆疊從底部到頂部依序包含一穿隧氧化層,一浮動閘極,一中間介電層,一控制閘極及一罩幕層。第一間隙壁位於二閘極堆疊的側壁。一閘極介電層位於暴露的基底。一抹除閘極位於二閘極堆疊之間,且具有一非平面頂面,其不高於控制閘極的頂面。二字元線位於二閘極堆疊的外側,且具有非平面頂面,其不高於控制閘極頂面。覆蓋層分別位於抹除閘極及字元線上。
本發明的某些其他實施方式提供一形成非揮發記憶體的方法。形成二閘極堆疊於一基底上,其中每一閘極堆疊從底部到頂部依序包含一穿隧氧化層,一浮動閘極,一中間介電層,一控制閘極及一罩幕層。形成第一間隙壁於二閘極堆疊的側壁上。形成一閘極介電層於暴露的基底上。同時形成一抹除閘極介於二閘極堆疊之間,及二字元線位於二閘極堆疊外側,其中抹除閘極及二字元線具有不高於控制閘極之頂面的頂面。形成複合覆蓋層分別於抹除閘極及字元線的頂面上。
本發明某些其他實施方式提供一種形成一非揮發性記憶體的方法。形成二閘極堆疊於一基底上,其中每一閘極堆疊從底部到頂部依序包含一穿隧氧化層,一浮動閘極,一中間介電層,一控制閘極及一罩幕層。形成第一間隙壁於二閘極堆疊的側壁。形成一閘極介電層於暴露的基底上。依序形成一多晶矽層及一有機層於基底上,其中多晶矽層具有一厚度,其小於穿隧氧化層、浮動閘極、中間介電層以及控制閘極的總厚度,且有機層具有一高於閘極堆疊之頂面的頂面。無選擇性地蝕刻有機層及多晶矽層,直到多晶矽層的頂面不高於控制閘極的頂面。移除殘留的有機層。形成一第一介電層在基底之上。非等向性蝕刻第一介電層及其下的多晶矽層直到暴露出基底。蝕刻多晶矽層,以形成一抹除閘極介於二閘極堆疊之間、以及形成字元線位於二閘極堆疊的外側上,且蝕刻第一介電層以形成第一覆蓋層在字元線與抹除閘極上。
本發明某些其他實施方式提供一種形成一非揮發性記憶體的方法。形成二閘極堆疊於一基底上,其中每一閘極堆疊從底部到頂部依序包含一穿隧氧化層,一浮動閘極,一中間介電層,一控制閘極及一罩幕層。形成第一間隙壁於二閘極堆疊的側壁。形成一閘極介電層於暴露的基底上。依序形成一多晶矽層及一第一介電層於基底上,其中多晶矽層具有一厚度,其小於穿隧氧化層、浮動閘極、中間介電層以及控制閘極的總厚度。非等向性蝕刻第一介電層及其下的多晶矽層直到暴露出基底。蝕刻多晶矽層, 以形成一抹除閘極介於二閘極堆疊之間、以及形成字元線位於二閘極堆疊的外側上,且蝕刻第一介電層以形成第一覆蓋層在字元線與抹除閘極上。形成一有機層於暴露的基底上。蝕刻暴露的字元線與暴露的抹除閘極,直到抹除閘極與字元線具有低於控制閘極之頂面的頂面。移除有機層。形成一第二介電層於基底之上。非等向性蝕刻第二介電層,以形成第二間隙壁在字元線的外側壁、以及形成第二覆蓋層於字元線及抹除閘極上。
上述說明概略說明某些實施方式的特徵,熟習此技藝者應可更加了解本發明的觀點。熟習此技藝者應理解,可以輕易利用本發明為基礎,進行設計或修改其他製程及結構,以達成本發明實施方式所揭露的相同目的,及/或達成相同的優點。熟習此技藝者也應理解,如此等效的結構並未脫離本發明的精神與範圍,且他們可以在不脫離本發明之完整等效範圍內,當可作各種之更動,替代與潤飾。
100‧‧‧基底
122a‧‧‧字元線
102a‧‧‧穿隧氧化層
122b‧‧‧抹除閘極
104a‧‧‧浮動閘極
124a‧‧‧第一側覆蓋層
106a‧‧‧中間介電層
124b‧‧‧第一中間覆蓋層
108‧‧‧控制閘極
128‧‧‧第二緩衝層
110‧‧‧罩幕層
130a‧‧‧第三間隙壁
112‧‧‧第一間隙壁
130b‧‧‧第二側覆蓋層
116a‧‧‧第一緩衝層
132‧‧‧金屬矽化物層
116b‧‧‧閘極氧化層
134‧‧‧蝕刻終止層
118‧‧‧第二間隙壁
136‧‧‧低介電係數介電層
120‧‧‧共同源極

Claims (10)

  1. 一種非揮發性記憶體,包含:至少二閘極堆疊,位在一基底上,其中每一該些閘極堆疊從底部到頂部依序包含一穿隧氧化層,一浮動閘極,一中間介電層,一控制閘極及一罩幕層;複數個第一間隙壁,位於該二閘極堆疊的側壁;一閘極介電層,位於暴露的該基底;一抹除閘極,位於該二閘極堆疊之間,該抹除閘極具有一非平面頂面,該非平面頂面不高於該控制閘極的頂面;二字元線,位於該二閘極堆疊的外側,且該二字元線具有複數個非平面頂面,該些非平面頂面不高於該控制閘極的頂面;以及複數個覆蓋層,分別位於該抹除閘極及該些字元線上。
  2. 如請求項1所述的非揮發性記憶體,其中每一該些第一間隙壁及該些覆蓋層包含一內部氧化矽層以及一外部氮化矽層。
  3. 如請求項1所述的非揮發性記憶體,更包含複數個第二間隙壁位於該些字元線的外側壁。
  4. 如請求項3所述的非揮發性記憶體,其中每一該些第二間隙壁包含一內部氧化矽層以及一外部氮化矽層。
  5. 一種形成非揮發記憶體的方法,包含:形成二閘極堆疊於一基底上,其中每一該些閘極堆疊從底部到頂部依序包含一穿隧氧化層,一浮動閘極,一中間介電層,一控制閘極及一罩幕層;形成複數個第一間隙壁於該二閘極堆疊的側壁上;形成一閘極介電層於暴露的該基底上;形成一抹除閘極介於該二閘極堆疊之間,以及二字元線位於該二閘極堆疊外側,其中該抹除閘極及該二字元線具有不高於該控制閘極之頂面的非平面頂面;以及分別形成複數個複合覆蓋層於該抹除閘極及該些字元線的頂面上。
  6. 如請求項5所述的方法,其中形成該抹除閘極及該些字元線包含:形成一厚度大於該些閘極堆疊的厚度的多晶矽層;以及非等向性蝕刻該多晶矽層,直到暴露出該基底,以形成該抹除閘極及該些字元線。
  7. 如請求項5所述的方法,其中形成該些複合覆蓋層包含:形成一第一介電層於該基底上;非等向性蝕刻該第一介電層,直到暴露出該基底,以形成複數個第一覆蓋層在該些字元線與該抹除閘極上; 形成一第二介電層於該基底上;以及非等向性蝕刻該第二介電層,直到暴露出該基底,以形成複數個第二間隙壁於該些字元線的側壁,以及形成一第二覆蓋層於該抹除閘極上,其中一之該些複合覆蓋層包含該些第一覆蓋層及該第二覆蓋層。
  8. 一種形成一非揮發性記憶體的方法,包含:形成二閘極堆疊於一基底上,其中每一該些閘極堆疊從底部到頂部依序包含一穿隧氧化層,一浮動閘極,一中間介電層,一控制閘極及一罩幕層;形成複數個第一間隙壁於該二閘極堆疊的側壁;形成一閘極介電層於暴露的該基底上;依序形成一多晶矽層及一有機層於該基底上,其中該多晶矽層具有一厚度,該厚度小於該穿隧氧化層、該浮動閘極、該中間介電層以及該控制閘極之一總厚度,且該有機層具有高於該些閘極堆疊之頂面的一頂面;蝕刻該有機層及該多晶矽層,直到該多晶矽層的頂面不高於該些控制閘極的頂面;移除殘留的該有機層;形成一第一介電層在該基底上;以及非等向性蝕刻該第一介電層及其下的該多晶矽層,直到暴露出該基底,其中蝕刻該多晶矽層以形成一抹除閘極,介於該二閘極堆疊之間,以及複數個字元線,位於該二閘極堆疊的外側上,且蝕刻該第一介電層以形成複數個 第一覆蓋層於該些字元線與該抹除閘極上。
  9. 如請求項8所述的方法,更包含:形成一第二介電層於該基底上;以及非等向性蝕刻該第二介電層,直到暴露出該基底,以形成複數個第二間隙壁於該些字元線外側壁上。
  10. 一種形成一非揮發性記憶體的方法,包含:形成二閘極堆疊於一基底上,其中每一該些閘極堆疊從底部到頂部依序包含一穿隧氧化層,一浮動閘極,一中間介電層,一控制閘極及一罩幕層;形成複數個第一間隙壁於該二閘極堆疊的側壁;形成一閘極介電層於暴露的該基底上;依序形成一多晶矽層及一第一介電層於該基底上,其中該多晶矽層具有一厚度,該厚度小於該穿隧氧化層、該浮動閘極、該中間介電層以及該控制閘極的一總厚度;非等向性蝕刻該第一介電層及其下的該多晶矽層,直到暴露出該基底,其中蝕刻該多晶矽層以形成一抹除閘極,介於該二閘極堆疊之間,以及複數個字元線,位於該二閘極堆疊的外側上,且蝕刻該第一介電層以形成複數個第一覆蓋層於該些字元線與該抹除閘極上;形成一有機層於暴露的該基底上;蝕刻暴露的該些字元線與暴露的該抹除閘極,直到該抹除閘極與該些字元線具有低於該控制閘極之頂面的頂 面;移除該有機層;形成一第二介電層於該基底上;以及非等向性蝕刻該第二介電層,以形成複數個第二間隙壁在該些字元線的外側壁,以及複數個第二覆蓋層於該些字元線及該抹除閘極上。
TW103136668A 2014-03-28 2014-10-23 嵌入式非揮發性記憶體與其製作方法 TWI559458B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/229,191 US9159842B1 (en) 2014-03-28 2014-03-28 Embedded nonvolatile memory

Publications (2)

Publication Number Publication Date
TW201537689A TW201537689A (zh) 2015-10-01
TWI559458B true TWI559458B (zh) 2016-11-21

Family

ID=54167086

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103136668A TWI559458B (zh) 2014-03-28 2014-10-23 嵌入式非揮發性記憶體與其製作方法

Country Status (4)

Country Link
US (4) US9159842B1 (zh)
KR (1) KR101667860B1 (zh)
CN (1) CN104952479B (zh)
TW (1) TWI559458B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9691883B2 (en) * 2014-06-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Asymmetric formation approach for a floating gate of a split gate flash memory structure
US9917165B2 (en) * 2015-05-15 2018-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cell structure for improving erase speed
US9646978B2 (en) * 2015-06-03 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned flash memory device with word line having reduced height at outer edge opposite to gate stack
CN107425003B (zh) * 2016-05-18 2020-07-14 硅存储技术公司 制造分裂栅非易失性闪存单元的方法
US9929167B2 (en) * 2016-07-13 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10325918B2 (en) 2016-11-29 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10943996B2 (en) 2016-11-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device including non-volatile memories and logic devices
US10283512B2 (en) 2016-11-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN107039089B (zh) * 2017-04-14 2019-12-10 上海华虹宏力半导体制造有限公司 快闪存储器的缺陷检测方法、耐久测试方法和制造方法
US10269815B2 (en) * 2017-04-27 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE102017125541B4 (de) * 2017-06-30 2020-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit Speicherzellenbereich und Schaltungsbereichen sowie Verfahren zu deren Herstellung
US10741569B2 (en) 2017-06-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10937879B2 (en) * 2017-11-30 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN108493190B (zh) * 2018-03-06 2021-03-23 上海华虹宏力半导体制造有限公司 存储器及其形成方法
US11437392B2 (en) * 2020-07-28 2022-09-06 Globalfoundries Singapore Pte. Ltd. Compact memory cell with a shared conductive select gate and methods of making such a memory cell
TWI756043B (zh) * 2021-02-02 2022-02-21 力晶積成電子製造股份有限公司 非揮發性記憶體結構及其製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200515543A (en) * 2003-10-23 2005-05-01 Powerchip Semiconductor Corp NAND flash memory cell row and method of forming the same
TW200847334A (en) * 2007-05-21 2008-12-01 Powerchip Semiconductor Corp Method of fabricating a flash memory
TW201409618A (zh) * 2012-08-23 2014-03-01 Silicon Storage Tech Inc 藉由減少摻雜劑於閘極下的擴散來形成記憶體胞元之方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5991204A (en) * 1998-04-15 1999-11-23 Chang; Ming-Bing Flash eeprom device employing polysilicon sidewall spacer as an erase gate
JP2003168748A (ja) * 2001-11-30 2003-06-13 Hitachi Ltd 不揮発性半導体記憶装置およびその製造方法
US6747310B2 (en) * 2002-10-07 2004-06-08 Actrans System Inc. Flash memory cells with separated self-aligned select and erase gates, and process of fabrication
KR100621553B1 (ko) * 2004-09-22 2006-09-19 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조방법
US7598561B2 (en) * 2006-05-05 2009-10-06 Silicon Storage Technolgy, Inc. NOR flash memory
KR101169397B1 (ko) * 2007-01-05 2012-07-30 삼성전자주식회사 반도체 메모리 장치 및 반도체 메모리 장치의 제조 방법
US20090039410A1 (en) * 2007-08-06 2009-02-12 Xian Liu Split Gate Non-Volatile Flash Memory Cell Having A Floating Gate, Control Gate, Select Gate And An Erase Gate With An Overhang Over The Floating Gate, Array And Method Of Manufacturing
JP5190986B2 (ja) * 2008-02-08 2013-04-24 ルネサスエレクトロニクス株式会社 不揮発性半導体記憶装置及びその製造方法
US8273625B2 (en) * 2010-04-09 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for flash memory cells
US8908434B2 (en) * 2011-02-04 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Operating methods of flash memory and decoding circuits thereof
US8883592B2 (en) * 2011-08-05 2014-11-11 Silicon Storage Technology, Inc. Non-volatile memory cell having a high K dielectric and metal gate
US8780628B2 (en) * 2011-09-23 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including a voltage divider and methods of operating the same
KR20130104270A (ko) * 2012-03-13 2013-09-25 삼성전자주식회사 스플릿 게이트형 비휘발성 메모리 장치 및 스플릿 게이트형 비휘발성 메모리 장치가 임베디드된 반도체 장치
US8669607B1 (en) * 2012-11-01 2014-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for non-volatile memory cells with increased programming efficiency

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200515543A (en) * 2003-10-23 2005-05-01 Powerchip Semiconductor Corp NAND flash memory cell row and method of forming the same
TW200847334A (en) * 2007-05-21 2008-12-01 Powerchip Semiconductor Corp Method of fabricating a flash memory
TW201409618A (zh) * 2012-08-23 2014-03-01 Silicon Storage Tech Inc 藉由減少摻雜劑於閘極下的擴散來形成記憶體胞元之方法

Also Published As

Publication number Publication date
US20200119026A1 (en) 2020-04-16
US9673205B2 (en) 2017-06-06
US20150280004A1 (en) 2015-10-01
CN104952479B (zh) 2018-04-17
US9159842B1 (en) 2015-10-13
US20170263616A1 (en) 2017-09-14
US10868024B2 (en) 2020-12-15
KR101667860B1 (ko) 2016-10-19
CN104952479A (zh) 2015-09-30
KR20150112751A (ko) 2015-10-07
US10510763B2 (en) 2019-12-17
US20150364482A1 (en) 2015-12-17
TW201537689A (zh) 2015-10-01

Similar Documents

Publication Publication Date Title
TWI559458B (zh) 嵌入式非揮發性記憶體與其製作方法
TWI630705B (zh) 半導體元件及其製造方法
CN107346759B (zh) 半导体结构及其制造方法
KR20100013980A (ko) 반도체 소자의 소자 분리막 형성 방법
US9799564B2 (en) Semiconductor structure having contact holes between sidewall spacers and fabrication method there of
TWI624032B (zh) 半導體元件及其製造方法
CN108091562B (zh) Sonos存储器的ono刻蚀方法
TWI555179B (zh) 隔離結構及具有其之非揮發性記憶體的製造方法
CN108807377B (zh) 半导体器件及其形成方法
KR100994714B1 (ko) 반도체 장치 제조 방법
CN108735670A (zh) 一种半导体器件及其制造方法和电子装置
KR100924862B1 (ko) 플래시 메모리 소자의 제조 방법
KR20060008594A (ko) 낸드 플래시 메모리 소자의 제조 방법
US20090130841A1 (en) Method for forming contact in semiconductor device
KR100512007B1 (ko) 셀로우 트렌치 소자분리 방법
KR100843014B1 (ko) 반도체 소자의 제조 방법
TWI469253B (zh) 快閃記憶體的製程及應用於快閃記憶體的絕緣結構
KR20100010814A (ko) 비휘발성 메모리 소자의 제조방법
KR20100074678A (ko) 플래시 메모리 소자의 제조 방법
CN107845576A (zh) 半导体结构的形成方法
KR20100074661A (ko) 반도체 소자의 트렌치 형성 방법
KR20060118263A (ko) 낸드 플래쉬 메모리 소자의 제조방법
KR20100011491A (ko) 반도체 소자의 제조 방법
KR20080093520A (ko) 듀얼 게이트 제조 방법
KR20090092447A (ko) 반도체 소자의 제조 방법