TWI545629B - 藉由傾斜植入於p通道電晶體之主動區中的源極和汲極架構 - Google Patents

藉由傾斜植入於p通道電晶體之主動區中的源極和汲極架構 Download PDF

Info

Publication number
TWI545629B
TWI545629B TW102115221A TW102115221A TWI545629B TW I545629 B TWI545629 B TW I545629B TW 102115221 A TW102115221 A TW 102115221A TW 102115221 A TW102115221 A TW 102115221A TW I545629 B TWI545629 B TW I545629B
Authority
TW
Taiwan
Prior art keywords
active region
region
drain
gate electrode
semiconductor
Prior art date
Application number
TW102115221A
Other languages
English (en)
Other versions
TW201401345A (zh
Inventor
史羅 史奇皮爾
Original Assignee
格羅方德半導體公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 格羅方德半導體公司 filed Critical 格羅方德半導體公司
Publication of TW201401345A publication Critical patent/TW201401345A/zh
Application granted granted Critical
Publication of TWI545629B publication Critical patent/TWI545629B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

藉由傾斜植入於P通道電晶體之主動區中的源極和汲極架構
本發明涉及半導體製造領域,尤其涉及具有淺汲極與源極摻雜物分佈的電晶體的接觸區域。
半導體裝置,例如先進積體電路,通常包括大量電路元件,例如電晶體、電容等。該些電路元件形成於適當的基板上,該基板上形成有結晶半導體層。由於大量電路元件以及當前積體電路的複雜佈局,各自電路元件的電性連接通常不會與該電路元件受建立的平面處於同一水平面內,而是需要一個或多個額外的“線路”層,也稱作金屬化層。該些金屬化層通常包括含金屬的線段以提供內層電性連接,並且還包括多個層間的連接,也稱為“導孔”,該導孔是由適當的金屬填充並提供兩個相鄰的堆疊金屬化層間的電性連接。
為建立電路元件與第一金屬化層的連接,提供適當的接觸結構來連接電路元件的各個接觸區域,例如場效電晶體的閘極電極以及汲極/源極區域,以及第一金屬化層的各金屬線。包括多個接觸件或接觸塞的垂直接觸結構形成于包覆並鈍化電路元件的層間介電材料中。
例如電晶體等電路元件尺寸的不斷縮小已經且將繼續成為半導體製造商的主要目標,因為可在操作速度、生產成本等方面使半導體裝置的性能獲得顯著增益。例如,場效電晶體的閘極長度現已達到0.05 um及更小,因封裝密度增加而可在該些電晶體的基礎上形成快速且強大的邏輯電路,例如微處理器、存儲裝置等,從而還可能在單晶片區域中包含越來越多的功能。例如,當前CPU(中央處理單元)中包含的存儲量不斷增加以提升微處理器的總體性能。在其他情況下,可在同一半導體晶片上提供複雜類比及數位電路,以增強對多個電子裝置的控制功能。不過,縮小到裝置水平的半導體電路元件的特徵尺寸時,必須也縮小半導體裝置的線路水平的金屬線段及導孔的尺寸,因為該些電路元件的接觸區域必須連接金屬化層,這樣,至少接觸結構及下方的金屬化層也要求顯著縮小各金屬線段及導孔的尺寸。
應當瞭解,對於高度縮小的半導體裝置,包括接觸平坦層的金屬化系統的電性性能通常因金屬特徵的寄生電容及寄生電阻而顯著影響半導體裝置的總體性能。因此,在當前的半導體裝置中,往往使用例如銅等高導電金屬並結合具有降低介電常數的介電材料,以限制由金屬化系統引起的信號激發延遲。另一方面,在裝置水平下,場效電晶體的通道長度的縮小結合汲極與源極區域與閘極電極中的極高摻雜物濃度可用於降低各電路元件的總體串聯電阻。不過,為進一步降低裝置級中電晶體裝置與其他電路元件的串聯電阻,通常藉由納入適當的金屬種類(例如金屬矽化物)來降低高摻雜物矽基半導體區域的電阻率。該相應的金屬矽化物相比于高摻雜物半導體材料是具有降低的薄層電阻率,因 此通常在複雜工序技術中納入相應製造序列,以在汲極與源極區域或電路元件的其他接觸區域中形成適當的金屬矽化物區域,並可能與在閘極電極中設置相應金屬矽化物來結合。
最近,廣泛認可的二矽化鈷形式的金屬矽化物逐漸由具有增強導電性的金屬矽化物(例如矽化鎳)取代。儘管向電晶體的汲極與源極區域中納入矽化鎳可獲得顯著的性能優點,但證明在形成金屬矽化物的製造序列中,就裝置失效上可看到顯著的良率損失以及低於預期的性能增加,其往往藉由短路而“短接”汲極與源極區域中電晶體的pn接面所引起。
該些裝置失效往往與主動半導體區域的明顯的表面形貌相關。該明顯的表面形貌可藉由形成複雜電晶體裝置(尤其是p通道電晶體)的複雜製造序列引起。例如,可藉由在電晶體的主動區域中引入特定的應力條件來實現性能的顯著增益,因為應力矽材料可具有顯著改變的電子特性,尤其在載流子遷移方面,從而可用以增加電晶體的總體導電性以及開關速度。為此,往往藉由選擇性磊晶生長技術將例如矽/鍺等適當的半導體合金納入主動區域中的一部分,從而由於該些材料的天然晶格常數相對於矽基材料的晶格常數的不匹配而獲得該生長半導體合金的應力應變狀態。
在其他複雜方法中,可藉由納入適當的半導體合金(例如矽/鍺合金)而就例如為電晶體的閾值電壓來調整至少部分主動區域的電子特徵,這樣可導致閘極介電材料與主動區域的介面附近的帶隙能量改變。例如,在複雜方法中,可在高介電常數介電材料結合含金屬電極材料的基礎上提供場效電晶體的閘極電極 結構,這樣可能需要適當改變主動區域的電子特性,對於至少一些電晶體裝置,改變至少閘極介電材料附近的主動區域的電子特性。另外在該情況下,通常使用複雜的選擇性磊晶生長技術,其可導致表面形貌改變,從而在形成尤其是p通道電晶體的金屬矽化物區域時引起顯著的不規則。下面將參照第1a至1f圖對其作詳細解釋。
第1a圖顯示半導體裝置100的頂視圖,其中提供p通道形式的電晶體150,亦即場效電晶體。如圖所示,電晶體150包括半導體區域103,這裏亦稱作主動區域,表示在該相應半導體區域中及其上方將形成至少一電晶體。主動區域103通常由矽基半導體層形成,主動區域103被隔離區域102例如由二氧化矽、氮化矽等組成的淺溝槽隔離區域適當地橫向劃分為多個主動區域。而且,閘極電極結構160形成於主動區域103上並延伸至隔離區域102中,以連接其他電晶體及/或允許以適當的接觸元件來可靠地接觸閘極電極結構160,如上所述。如上所述,隔離區域102可橫向界定主動區域103,從而定義各側壁103S。側壁103S表示沿寬度方向W的主動區域103的邊界。類似地,側壁103T表示沿長度方向L的主動區域103的邊界。在該所示實施例中,主動區域103實質上呈矩形。
第1b圖顯示沿第1a圖的線1b的剖面圖。如圖所示,形成於半導體層103H中的隔離區域102可相對主動區域103顯著凹入而具有凹部,該凹部標示為102R。凹部102R的水平可顯著依賴於電晶體150的工序歷史,其中,在複雜應用中,相應的側壁103T可由相當陡的側壁表示,其顯著影響汲極與源極區域151 的最終摻雜物分佈。而且,在所示製造階段,閘極電極結構160形成於主動區域103上並包括側壁間隔件結構165。側壁間隔件結構165通常於調整汲極與源極區域151的摻雜物分佈時用作植入遮罩,並且還可在後續工序中至少部分地作為形成主動區域103中的金屬矽化物區域的遮罩。而且,閘極電極結構160包括電極材料161,例如多晶矽材料等,可能結合含金屬電極材料162,例如氮化鈦等。此外,可在複雜應用中設置閘極介電層164,該閘極介電層164可能結合高介電常數介電材料163。而且,如圖所示,可提供例如矽/鍺合金等形式的應力誘導半導體合金103A,以誘發特定的應變狀態,從而提升總體電晶體性能。例如,納入矽/鍺合金作為材料103A誘發壓縮應力,相應導致電洞的優越導電性,從而提升p通道電晶體的性能。而且,可提供半導體合金103B(例如矽/鍺合金)作為主動區域103的一部分,以結合可納入高介電常數介電材料以及電極材料162的閘極電極結構160來調整電晶體150的閾值電壓。
應當瞭解,在一些複雜電晶體架構中,當要使用SOI(絕緣體上矽)構造時,可在半導體層102下方形成絕緣埋層(未圖示)。在該情況下,顯著凹部102R可幾乎延伸至該絕緣埋層。
第1c圖顯示沿第1a圖的線1c的裝置100的剖面圖。如圖所示,在該情況下,側壁103S,亦即沿長度方向界定主動區域103的側壁(參照第1a圖),可具有相當陡的構造。而且,如圖所示,汲極與源極區域151可延伸至主動區域103內的特定深度,取決於用以納入汲極與源極摻雜物種類的植入參數,後面將詳細描述。此外,在絕緣體上矽架構中,汲極與源極區域的深度可經 選擇而延伸至絕緣埋層,其中,深汲極與源極區域151D的底部的摻雜物濃度通常低於其上部的摻雜物濃度。
應當瞭解,為方便起見,以虛線表示在該剖面中實際不可見的閘極電極結構160。
如第1a至1c圖所示的半導體裝置100可基於下述工序的方法形成。藉由形成隔離區域102確定主動區域103的大小、位置以及形狀。隔離區域102可藉由實施成熟的微影、蝕刻、沈積、平坦化及退火技術形成,其中,在半導體層103H中形成適當的溝槽,以獲得多個主動區域(例如區域103)。在形成隔離區域102的前或後,可藉由例如為離子植入來結合適當的遮蔽方式,以在不同主動區域103中建立基本摻雜物濃度,以按總體設計規則需要為可能具有不同閾值電壓值的p通道電晶體及n通道電晶體提供主動區域。接著,沈積或形成適當的材料並在高度複雜的微影技術及蝕刻工序的基礎上適當地圖案化,以形成閘極電極材料161、162以及介電材料163、164。相應的工序序列可包括多個複雜的圖案化工序,以針對相應的電晶體類型納入適當的功函數金屬種類。
再者,如上所述,如要設置半導體合金103B,例如當需要相應改變電子特性時,例如當設置複雜閘極材料時,則在執行複雜閘極圖案化工序前先執行一工序序列,該工序序列是在需要相應改變電子特性的主動區域上選擇性生長適當半導體合金。在該相應的工序序列期間,必須提供並圖案化硬遮罩材料,接著執行清洗工序與選擇性磊晶生長工序,其中,該序列通常可導致隔離區域102中大體明顯的材料損失,例如由圖案化該硬遮 罩材料、執行清洗工序與去除該硬遮罩材料所引起。在圖案化閘極電極材料161、162後,接著在主動區域103中形成開口以納入半導體材料103A(如必要),其中,要實施複雜工序序列,亦即蝕刻主動區域103,同時遮蔽不需要納入該應力誘導半導體材料的任意其他主動區域,例如n通道電晶體的主動區域。而且,該複雜工序可包括執行任意清洗工序並最終沈積材料103A,接著去除任意硬遮罩材料,這樣也可導致隔離區域102中顯著的材料腐蝕。接著,如必要,通常實施植入工序以形成部分汲極與源極區域151。
一般而言,要注意,縮小總體電晶體尺寸(尤其是閘極長度)亦即第1b圖中電極材料161、162的水平延伸時,必須適當改變汲極與源極區域的濃度分佈以保持想耍的的電晶體特性,例如通道可控性、漏電流等。另一方面,為降低電晶體中的總體串聯電阻,要在汲極與源極區域151中提供較高的摻雜物濃度。通常選擇使通道區域155附近的濃度分佈深度小於“深”汲極與源極區域151D的濃度分佈深度。為此,通常例如設置適當的補償性間隔件元件(未圖示)並以適當的植入能量及劑量納入汲源摻雜物種類以形成任意汲源延伸區域151E。接著,可形成間隔件結構165,並且通常實施進一步的植入工序以納入另外的汲極與源極摻雜物種類,從而形成適當連接延伸區域151E的區域151D。類似地,進一步縮小總體電晶體尺寸時將降低區域151D的濃度分佈的深度。因此,區域151D的深度相當於或甚至小於凹入水平102r。
第1d圖顯示下一製造階段中的裝置100。如圖所示,在閘極電極結構160中形成金屬矽化物166並在主動區域103 中形成金屬矽化物156。如上所述,通常提供金屬矽化物區域156以降低後續製造階段中將形成的接觸元件(未圖示)間的總體接觸電阻率,該些接觸元件相應連接電晶體150與將形成的金屬化系統。在高度縮小的半導體裝置中,接觸電阻率對於電晶體150的總體性能正變得越來越重要,因此為獲得優越的裝置性能,通常在主動區域103中提供複雜材料。例如,經常使用鎳,並可能結合特定量的鉑,以形成鎳矽化物。不過,鎳矽化物與半導體材料形成肖特基能障(Schottky barrier)是可證明的,其中,當增加相鄰半導體材料的摻雜物濃度時可顯著降低該能障的高度。因此,為提供可與汲極與源極區域151作載流子交換的金屬矽化物156的最大表面積,由矽化物材料156與半導體材料形成的任意介面應當位於汲極與源極區域151的高摻雜物區域內。如果在適度摻雜的半導體材料與鎳矽化物156間存在較高的肖特基能障,則金屬矽化物156向其餘主動區域103的延伸,亦即“短接”相應的pn接面,可因顯著增加的漏電流以及其他寄生效應而成為塊體構造中的不利因素,因為其甚至可引起與較高肖特基能障相當的工作電壓短路。而且,儘管可容忍“短接”pn接面,但這也是絕緣體上矽架構中的不利因素,因為儘管電晶體可保持實質上的功能,但由阱區中的適度摻雜物濃度引起的較高肖特基能障可導致電晶體的串聯電阻增加。另一方面,由於從接觸元件至電晶體的電流較佳地經由金屬矽化物發生,儘管金屬矽化物區域156可嵌入汲極與源極區域中,但汲極與源極區域151的較深區域中摻雜物濃度的降低也可引起電晶體性能的降低。不過,在該情況下,金屬矽化物156的深區域可因較明顯的肖特基能障而使總體電阻顯著 增加。
更確切地說,在矽化工序期間,通常沈積適當的難熔金屬,接著對該難熔金屬熱處理以啟動矽和金屬擴散。另一方面,實質上抑制在任意介電表面區域上的化學反應。因此,間隔件結構165以及隔離區域102可充當有效的矽化遮罩,同時另一方面,有效矽化側壁103T及103S(參照第1c圖),從而形成金屬矽化物156。這樣,金屬矽化物156(可能位於深汲源區域151D的外部或位於具有降低摻雜物濃度的汲源區域的一區域中)將從而遭遇增加的肖特基能障。第1e圖顯示沿第1a圖的剖線1c的剖面圖中的狀況,其中,在側壁103S處,金屬矽化物156D延伸至主動區域103中。
第1f圖顯示裝置100的頂視圖,其中顯示在側壁103T、103S處或附近的周邊區域103P,其中,金屬矽化物可延伸深入主動區域103中,從而可能引起顯著的裝置失效或大體降低電晶體裝置的總體性能。
因此,當需要納入應變誘導矽/鍺合金的電晶體架構中的閘極長度為40奈米及更小時,尤其複雜p通道電晶體可能經歷良率損失增加且性能降低,從而使該實質上上很可行的方法在量產環境中變得不太有吸引力。因此業界已討論了多種方法。例如,提出在形成複雜p通道電晶體期間避免顯著凹入隔離結構102,但在此方面沒有任何有關實際實施改進方法的細節。另一種替代方法是植入較高的摻雜物劑量以增加汲源摻雜物濃度。不過,如上所述,顯著改變高度縮小的電晶體中的摻雜物濃度可產生一些額外的效果,這樣可能不符合總體裝置要求。在此方面, 證明對於40奈米及更小的技術嚴格限制摻雜物濃度,否則汲極與源極區域將過度穿透通道區域並增加裝置漏電流。更確切地說,實現較高劑量的植入工序而不會額外橫向摻雜物擴散至通道區域中將需要增加相應於間隔件元件的寬度,這樣通常因密集封裝的裝置區域中閘極電極結構的有限間距而不符合複雜裝置架構。因此,增加植入劑量可能不是一個可行的解決方案。
可增加植入能量而非增加植入劑量,以在汲極與源極區域的深度各處產生更均勻的摻雜物分佈。不過,顯著增加植入能量是證明為可能不符合總體的閘極構造,亦即,增加植入能量時可能發生摻雜物過度納入通道區域中。
在本申請的申請人(申請人參照號BD152)提交的名稱為“Shallow source and drain architecture in an active region of a semiconductor device having a pronounced surface topography by tilted implantation”(“藉由傾斜植入在具有明顯表面形貌的半導體裝置的主動區域中形成淺汲極與源極架構”)的未公開美國專利申請中說明一種可行的方法。依據該概念,可以傾斜角度30°或更大的角度來實施傾斜植入工序,以經由暴露的側壁表面區域來試圖向較深的汲源區域中納入額外的摻雜物種類,從而在摻雜物濃度增加的半導體材料中嵌入最終的深金屬矽化物區域。不過,實施該概念時,已觀察到最終的電晶體性能增益不如預期明顯,從而表明尤其是p通道電晶體的主動區域的明顯表面形貌仍然顯著影響複雜半導體裝置的行為。因此,該專利申請中揭露的概念仍然需要額外的改進,以針對包括閘極長度為40奈米與更小並結合複雜閘極電極結構的p通道電晶體的半導體裝置提供適合 批量生產技術的方法。
針對上述情況,本發明涉及製造技術及半導體裝置,其特徵在於,可在要求複雜摻雜物分佈且具有明顯表面形貌的主動區域中提供適當的接觸區域,例如金屬矽化物區域,同時避免或至少減輕上述一個或多個問題的影響。
一般而言,本發明提供製造技術以及半導體裝置,其特徵在於,藉由適當調整p通道電晶體的主動區域的明顯表面形貌的摻雜物分佈來降低在複雜半導體裝置中形成接觸區域(例如金屬矽化物區域)時的裝置失效機率。已意識到,相對主動區域的寬度方向以適當選擇的傾斜角度來植入汲極與源極摻雜物種類可導致p通道電晶體具有優越的性能,同時避免沿主動區域的長度方向使用任意傾斜角度而能夠抑制汲極與源極摻雜物過度橫向穿透通道區域。在本發明的一些實施例中,傾斜角度限於20度角及更小的範圍,以使閘極長度為40奈米及更小的複雜p通道電晶體獲得優越的性能。因此,仍可實施適當的間隔件技術以調整通道區域附近的橫向摻雜物分佈,而同時使主動區域的周邊區域的平均摻雜物濃度增加,從而使電晶體的整個寬度都獲得優越的電阻狀態。因此,最終金屬矽化物可可靠地嵌入高摻雜半導體材料中而不過度影響通道區域附近的橫向摻雜物分佈,因為植入期間的傾斜發生于垂直於主動區域的頂部表面並實質上平行於閘極電極結構的平面中。
本發明揭露的一種方法包括:將植入工序在存在閘極電極結構的情況下執行,以透過半導體裝置的p通道電晶體的 主動區域的第一側壁與第二側壁引入汲極與源極摻雜物種類,該主動區域將藉由相對該主動區域凹入的隔離區域橫向包覆,其中,該第一與第二側壁定義該主動區域的寬度;以及將金屬矽化物形成在該主動區域中。
本發明揭露的另一種方法包括:將閘極電極結構形成在半導體裝置的主動區域上,該主動區域具有長度及寬度並將藉由相對該主動區域凹入的隔離區域橫向界定;將汲源摻雜物種類藉由執行植入工序引入該主動區域中,該植入工序包括相對該主動區域的頂部表面的法線的至少兩個不同的傾斜角度,並且定義成垂直於該主動區域的該頂部表面並平行於寬度方向的第一平面中,該植入工序又包括無變化植入角度,該無變化植入角度定義成垂直於該頂部表面並垂直於該寬度方向的第二平面中;以及將金屬矽化物形成在該主動區域的一部分中。
本發明揭露的一種半導體裝置包括:隔離區域,形成在基板上方;P通道電晶體的含矽主動區域,由該隔離區域橫向包覆、該隔離區域相對該含矽主動區域凹入、該含矽主動區域具有藉由一對第一側壁界定的長度以及藉由一對第二側壁界定的寬度;閘極電極結構,形成於該含矽主動區域上;汲極與源極區域,該汲極與源極區域包括在該第一側壁處的第一平均摻雜物濃度,該第一平均摻雜物濃度低於在該第二側壁處的第二平均摻雜物濃度;以及金屬矽化物,形成於該含矽半導體區域的一部分中,該金屬矽化物位於該汲極與源極區域內。
100、200‧‧‧半導體裝置
102‧‧‧隔離區域
102R‧‧‧凹部
103‧‧‧半導體區域、主動區域
103A‧‧‧應力誘導半導體合金、半導體材料、材料
103B、203B‧‧‧半導體合金
103H‧‧‧半導體層
103P、203P、203Q‧‧‧週邊區域
103S、103T、203S‧‧‧側壁
150、250‧‧‧電晶體
151、251‧‧‧汲極與源極區域
151D、251D‧‧‧深汲極與源極區域
151E‧‧‧汲源延伸區域
155、255‧‧‧通道區域
156‧‧‧金屬矽化物、金屬矽化物區域
156D、166、256‧‧‧金屬矽化物
160、260‧‧‧閘極電極結構
161、162‧‧‧閘極電極材料
163、164‧‧‧介電材料
165‧‧‧側壁間隔件結構
201‧‧‧基板
201A‧‧‧絕緣埋層
202‧‧‧隔離區域
203‧‧‧半導體區域、主動區域
203A‧‧‧應力誘導半導體合金
203L‧‧‧長度
203T‧‧‧側壁、側壁表面
203W‧‧‧寬度
205‧‧‧植入工序
205A‧‧‧第一植入步驟、植入步驟
205B‧‧‧第二植入步驟、植入步驟
206‧‧‧平面
208‧‧‧植入遮罩、遮罩
220‧‧‧接觸平坦層
221、222‧‧‧層
223‧‧‧接觸組件
250B‧‧‧第二P通道電晶體
251E‧‧‧延伸區域
261、262‧‧‧電極材料
263、264‧‧‧閘極介電材料
265‧‧‧間隔件結構
本發明的進一步實施例定義於所附的申請專利範圍 中,且在參照附圖閱讀下面的詳細說明後將明白該些實施例。
第1a圖顯示依據習知工序方法形成的半導體裝置的頂視圖。
第1b及1c圖顯示第1a圖所示半導體裝置的剖面圖。
第1d至1f圖分別顯示下一製造階段中該半導體裝置的剖面圖及頂視圖,其中,基於傳統的工序方法在淺汲極與源極區域中形成金屬矽化物區域。
第2a圖顯示依據本發明實施例的半導體裝置的頂視圖,其中所示植入方法是依據本發明實施例,以相對閘極電極結構實質上平行的方式透過主動區域的暴露側壁區域納入汲極與源極摻雜物種類。
第2b及2c圖顯示該半導體裝置的剖面圖,其中,依據本發明實施例而以相對閘極電極結構平行的方向實施傾斜植入工序。
第2d及2e圖顯示依據本發明實施例的該半導體裝置的頂視圖,其中,依據本發明實施例,具有不同排列方向的p通道電晶體可接收在適當遮蔽方式的基礎上平行於各閘極電極結構的傾斜植入。
第2f圖顯示下一製造階段中該半導體裝置的剖面圖,其中,接觸平坦層的層間介電材料可包括接觸元件,依據本發明實施例,因平均摻雜物濃度增加,該些接觸元件與汲極與源極區域的接觸電阻降低。
儘管本發明藉由參照下面的說明以及附圖中所示的實施例加以說明,但應當瞭解,下面的詳細說明及附圖並非意圖將本發明揭露的主題限制於所揭露的特定實施例。相反,所描述 的特定實施例僅示例本發明的各種態樣,其範圍由所附申請專利範圍定義。
一般而言,本發明解決因結合金屬矽化物區域的明顯表面形貌而使複雜p通道電晶體中電晶體性能降低的問題,其中,在上述共同未決的美國申請中提出的解決方案儘管可透過主動區域的暴露側壁表面部分納入一定量的摻雜物種類,但其可導致性能增益低於預期。已意識到,藉由將納入汲極及源極區域的摻雜物種類限定于定義p通道電晶體的寬度的暴露側壁表面區域可實現p通道電晶體的優越電晶體性能而不會使總體工序過度複雜。以此方式,仍可基於適當的間隔件技術以在通道區域處獲得高度複雜的橫向摻雜物分佈,因為所使用的角度實質上不會影響該敏感區域中的橫向摻雜物分佈。另一方面,在相對該電晶體寬度的周邊區域,平均摻雜物濃度顯著增加,從而有助於獲得優越的驅動電流能力,因為在該周邊區域,因增加的平均摻雜物濃度而使從金屬矽化物至半導體材料中的過渡電阻降低。尤其對於絕緣體上矽(SOI)裝置,其中,PN接面“短接”可能不會導致無功能性的電晶體,可看到p通道電晶體的總體性能顯著增加。
再者,已意識到,可將平行於閘極電極結構的傾斜植入限制於20度角及更小的傾斜角度值,從而獲得與較大的傾斜角度相比是優越的性能。在未試圖限制本申請於下列解釋的情況下,認為平行於閘極電極結構的適度傾斜角度可一方面導致定義電晶體的寬度的周邊區域的平均摻雜物濃度增加,且可另一方面能夠使汲極與源極區域的其餘部分具有更均勻的摻雜物分佈。例如,在上述指定範圍內選擇適度的傾斜角度時,可適當地使植入 能量適應該傾斜角度,以保留想要的穿透深度而不會在敏感裝置區域(例如閘極電極結構的末端部分等)中引起過度改變或損害裝置區域。因此,在一些說明實施例中,可在無需進一步教導本總體流程的情況下,可在適度的傾斜角度並具有適當地適應該傾斜角度的植入能量的基礎上,形成汲極與源極區域區域,例如其深部部分。另一方面,在通道區域附近的橫向摻雜物分佈實質上不受影響,因此仍可在側壁間隔件等的基礎上來有效調整該區域中的摻雜物分佈。
在一些說明實施例中,在整個半導體裝置中,p通道電晶體的閘極電極結構可具有相同的排列方向,以便實施單個植入工序而無需額外的遮蔽步驟。更確切地說,在該植入工序期間,可將半導體裝置旋轉180度角,以便實現至少兩個不同的傾斜角度,該傾斜角度具有相同或不同的值,以在零度角位置和180度角位置可向主動區域的周邊區域中有效納入摻雜物種類。
在其他說明實施例中,具有不同排列方向的閘極電極結構或主動區域的電晶體可由適當的植入遮罩覆蓋,以便不會過度影響在該些電晶體的通道區域附近的橫向摻雜物輪廓。此後,在去除該植入遮罩並使用具有進一步的植入遮罩覆蓋先前已植入的電晶體後,可應用例如為相同的工序參數實施進一步的工序。
現在參照第2a至2f圖來更詳細地說明進一步的實施例,其特徵在於,如須要可參照第1a至1f圖。
第2a圖示意地說明了包括半導體區域或主動區域203的半導體裝置200的頂視圖,在半導體區域或主動區域203 中與上方形成有p通道電晶體250。
半導體區域或主動區域203可由含矽半導體材料組成,該含矽半導體材料可使後續製造階段中能夠形成金屬矽化物。如圖所示,主動區域203具有寬度203W,更確切地說,是沿寬度方向的橫向尺寸(參照第1a圖),其中,應當瞭解的是,若考慮非矩形幾何構造,寬度203W可沿主動區域203的長度203L變化。主動區域203的大小、形狀以及位置是藉由隔離區域202來確定,如參照上述半導體裝置100。而且,在該所示的製造階段,依據總體裝置的構造,閘極電極結構260形成於主動區域203上並且也延伸至隔離區域203中。一般而言,電晶體250可具有任意適當的構造,例如關於主動區域203的構造以及閘極電極結構260,電晶體250可具有當參照電晶體150時如第1b及1c圖所示的構造。
在其他情況下,如果必要,該些元件可具有任意其他適當的構造。而且,在該所示製造階段中,電晶體250可接收汲極與源極摻雜物種類,以實現增加的平均濃度,該增加的平均濃度可在後續製造階段中形成適當接觸區域(例如金屬矽化物區域)時,在周邊區域203P處提供優越的工序條件。如參照第1f圖所示的半導體裝置100的先前所述,周邊203P(例如在定義成寬度203W的側壁203T處)可以是高度的關鍵,並且可因隔離區域202的凹入構造而暴露。不過,已經意識到,例如具有對應於絕緣體上矽架構以及具有對應于例如當形成犧牲閘極電極等結構時其他元件可覆蓋周邊區域203Q的構造,定義在側壁203S處的周邊區域203Q的長度203L是不太關鍵。周邊區域203P、203Q的寬度可 為20奈米或更小。因此,在一些說明的實施例中,利用適當的傾斜角度實施植入工序以透過暴露側壁表面區域203T至少納入部分的汲極與源極的摻雜物種類。例如,如第2a圖所示,在一些說明的實施例中,植入工序可包括第一植入步驟205A,其中使用適當的傾斜角度以透過其中側壁203T的其特徵在於一個來納入汲極與源極的摻雜物種類,並且可實施第二植入步驟205B以透過處於相反位置的另一側壁203T納入摻雜物種類。為此,對於各植入步驟205A、205B,可在平行於寬度方向(亦即第2a圖中的垂直方向(參照第1a圖))的平面206中選擇具有值為或大小為20度角或更小的傾斜角度。例如,對於實質上不傾斜的植入方向(對應與第2a圖所示平面為垂直的方向),在與第2a圖所示平面為垂直且對齊亦即平行於寬度方向的平面中,該植入方向的傾斜可導致植入步驟205A、205B分別具有適當的傾斜角度。如上所述,藉由依據上述指定範圍選擇植入步驟205A、205B的傾斜角度的大小或值,仍可藉由形成於閘極電極結構260上方的任意側壁間隔件來調整閘極電極結構260附近的橫向摻雜物分佈,從而能夠實施40奈米及更小的閘極長度,例如32奈米以及更小。在一些說明的實施例中,在植入步驟205A、205B的期間,各傾斜角度的大小被選擇為15度角或更小,而在其他情況下,傾斜角度可選擇為8.5度角至12.5度角。
第2b圖示意性地說明沿寬度方向(亦即沿第2a圖的剖線IIb)的剖面圖。如圖所示,半導體裝置200可包括基板201,可在基板201上方將主動區域203形成在基板201中。主動區域203可代表半導體層中的相應半導體島,如參照上述半導體裝置 100所述。在該所示實施例中,可在主動區域103下方設置絕緣埋層201A,以形成絕緣體上矽架構。
再者,如前所述,例如由於先前已經解釋的先前已經執行的工序序列,該工序序列是用以納入應力誘導半導體合金203A(例如矽/鍺合金),隔離區域202可比主動區域的頂部表面203G顯著凹入。應當瞭解,關於目前為止所述的元件,適用參照半導體裝置100所述的相同標準,因此可能省略該些元件及技術的相應詳細說明。如圖所示,可在定義於平面206中的各傾斜角度α、β的基礎上執行包括步驟205A、205B的植入工序。平面206可垂直或直交於頂部表面203G,且可平行於寬度方向W,從而能夠相對於暴露的側壁表面203T而變化植入角度,而不影響閘極電極結構260附近的橫向摻雜物分佈,閘極電極結構260是如虛線所示但是在剖面第2b圖中為不可見。應當瞭解,可認為傾斜角度α、β為不同的傾斜角度,因為即使該些角度具有相同值,該些角度相對頂部表面203G的法線N的方向是不同的。
因此,在選擇傾斜角度為20度角或更小時,可選擇適當的植入能量,以便在具有適當的穿透深度的工作區域203內獲得理想的較均勻的摻雜物分佈,同時另外相較於習用方法可使周邊區域203P中的摻雜物濃度增加。例如,與實施實質上未傾斜植入以在主動區域203中形成深汲極與源極區域的方法相比,周邊區域203P中(亦即厚度為D的條狀區域中)的平均摻雜物濃度較高。再者,與至少在絕緣埋層201A附近的深度處的周邊區域203Q(參照第2a圖)的平均摻雜物濃度相比,周邊區域203P中的平均摻雜物濃度較高。應當瞭解,由於傾斜角度的適度大小,植入 能量的相應適應性改變實質上不影響任意其他裝置元件或後續工序。更確切地說,與30度角以及明顯更高的傾斜角度相比,在本實施例中,僅需適度增加能量以實質地獲得目標穿透深度。因此,在傾斜植入步驟205A、205B期間,最終至閘極電極結構260中的額外穿透長度(參照第2a圖)可不改變想要的電晶體特性,因為延伸到隔離結構202上方的閘極電極結構260的端部長度通常大於由適度傾斜角度α、β所引起的額外穿透長度。第2c圖示意地說明沿長度方向(亦即沿第2a圖的剖線IIc)的剖面圖。如圖所示,電晶體250可包括汲極與源極區域251,可在植入步驟205A/205B期間如上所述地形成的深部251D。再者,汲極與源極區域251可包括延伸區域251E,該延伸區域251E實質上確定通道區域255的橫向摻雜物分佈。再者,在一些說明實施例中,可將作為通道區域255的一部分的閾值電壓調整半導體合金203B形成在閘極電極結構260下方。閘極電極結構260可包括閘極介電材料264與263,例如如上所述的習用的介電材料、高k介電材料等。另外,可設置藉由具有適度寬度的間隔件結構265橫向包覆的電極材料262及261,且該些材料可,以便確定汲極與源極區域251的橫向分佈,如上所述。可在如上所述的製造技術的基礎上形成到目前為止所述的該些元件。在執行包括步驟205A/205B的植入工序時,可相對主動區域203的頂部表面以實質上垂直的方式納入汲極與源極摻雜物種類,以在形成深汲極與源極區域251D時不顯著影響延伸區域251E的先前已經形成的橫向摻雜物分佈。再者,由於實質上抑制了透過側壁203S的暴露部分的摻雜物穿透,因此與周邊區域203P相比,周邊區域203Q中的平均摻雜物濃度有所降 低,尤其在絕緣埋層201A附近。第2d圖示意地說明了依據進一步的說明實施例的半導體裝置200的頂視圖,在該圖中,可提供排列方向不同於電晶體250的第二p通道電晶體250B的描述。例如,電晶體250B的閘極電極結構260可排列成垂直於電晶體250的閘極電極結構260。在該情況下,在如上所述包括步驟205A、205B的植入工序205期間,可在適當的植入遮罩206(例如光阻遮罩)的基礎上遮蔽電晶體250B。因此可避免將汲極與源極的摻雜物種類過度穿透至藉由電晶體250B的閘極電極結構260所覆蓋的區域中。第2e圖示意地說明下一製造階段中的裝置200,其中電晶體250藉由適當的植入遮罩208(例如光阻遮罩)遮蔽,同時電晶體250B於包括如上所述的步驟205A/205B的植入工序205中暴露,不過,其中,通常裝置200是適當調整成相對工序205的相應離子束裝置,以便獲得也如上所述的平行於閘極電極結構的傾斜角度。因此,電晶體250中先前建立的摻雜物分佈可藉由遮罩208而可靠地保留,同時可針對電晶體250B適當選擇工序205的工序參數。例如,可選擇相同的植入參數及傾斜角度,而在其他情況下,至少一個工序參數(例如傾斜角度值、植入能量等)可作不同選擇,以適當調節最終的電晶體特性。應當瞭解,在成熟的微影技術的基礎上是可容易形成相應的植入遮罩。第2f圖示意地說明下一製造階段中半導體裝置200的剖面圖。該剖面圖是沿寬度方向取得,亦即沿第2a圖的剖線IIb。如圖所示,可形成接觸平坦層220以封住並鈍化電晶體250。為此,可在主動區域203上方形成例如氮化矽、二氧化矽等形式的適當介電材料,例如層221及層222,其中,可設置一個或多個接觸元件223,以便連接 汲極與源極區域251。再者,金屬矽化物256可形成於主動區域203中,並實質上完全嵌入深汲源區域251D中,以便確保電晶體250中具有降低的串聯電阻。更確切地說,由於金屬矽化物256嵌入具有適度高摻雜物濃度的半導體材料中,相應的肖特基能障較低,從而提供優越的驅動電流能力。金屬矽化物256可為含鎳矽化物材料。尤其,由於前面形成深汲極與源極區域251D的工序,周邊區域203P中的平均摻雜物濃度增加,從而提供延伸至主動區域203的整個寬度的電流路徑,這樣相應轉換為電晶體250的優越性能。可在任意成熟的工序技術的基礎上形成接觸平坦層220與接觸元件223。應當瞭解,可如前面參照半導體裝置100所述般依據工序方案形成金屬矽化物256,裝置,從而在周邊區域203P處提供優越的工序條件。不過,在其他情況下,可取決於總體的工序方案而於接觸元件223處局部形成金屬矽化物256。另外,在該情況下,周邊區域203P中平均摻雜物濃度的增加可有助於優越的電晶體性能。再者,在一個或多個接觸元件223鄰近周邊區域203P的情況下,可獲得降低的接觸電阻。
因此,本發明提供製造技術以及半導體裝置,其中,可藉由在周邊區域203P(定義成p通道電晶體的有效寬度)處專門納入增加的平均摻雜物濃度而可增強該p通道電晶體的總體驅動電流能力以及總體性能。已意識到的是,平行於閘極電極結構的傾斜植入可形成深汲極與源極區域,以獲得優越的摻雜物濃度,同時另一方面,在該傾斜植入工序期間可避免過度橫向穿透通道區域。再者,針對閘極長度為40奈米及更小的p通道電晶體,20度角或更低的角度值是高度有效的傾斜角度。例如,對於32奈米 技術的p通道電晶體,與在不傾斜植入序列的基礎上形成的p通道電晶體相比,在形成深汲極與源極區域的期間採用10度角的傾斜角度值使導通電阻以及線性導通電流方面的電晶體性能提升數個百分點。
應當瞭解,上述實施例參照絕緣體上矽架構,其中,“短接”PN接面可能不會導致完全的裝置失效。在其他的說明實施例(未圖示)中,可使用塊體結構,亦即主動區域直接連接基板的結晶半導體材料的構造,從而在獲得上述優越性能外,顯著降低在定義成電晶體的有效寬度的周邊區域附近引起PN接面短路的機率。
在閱讀本說明書後,本領域技術人員將明白本發明的其他修改及變更。因此,本說明書僅為說明性質,意在教導本領域技術人員執行本發明原理的一般方式。應當理解,這裏所示及所描述的形式視作本發明的較佳實施例。
200‧‧‧半導體裝置
201‧‧‧基板
201A‧‧‧絕緣埋層
202‧‧‧隔離區域
203‧‧‧半導體區域、主動區域
203P‧‧‧週邊區域
220‧‧‧接觸平坦層
221、222‧‧‧層
223‧‧‧接觸組件
250‧‧‧電晶體

Claims (20)

  1. 一種製造半導體裝置之方法,包括:將植入工序在存在閘極電極結構的情況下執行,以透過半導體裝置的p通道電晶體的主動區域的第一側壁與第二側壁引入汲極與源極摻雜物種類,該主動區域藉由相對該主動區域而凹入的隔離區域被橫向包覆,該第一與第二側壁定義該主動區域的寬度;以及將金屬矽化物形成在該主動區域中。
  2. 如申請專利範圍第1項所述之方法,其中,執行該植入工序包括使用相對該主動區域的法線具有20度角或更小值的傾斜角度。
  3. 如申請專利範圍第2項所述之方法,其中,該傾斜角度值被選擇為15度角或更小。
  4. 如申請專利範圍第3項所述之方法,其中,該傾斜角度值被選擇在8.5度角至12.5度角的範圍內。
  5. 如申請專利範圍第1項所述之方法,其中,執行該植入工序復包括將深汲極與源極區域形成在該主動區域中。
  6. 如申請專利範圍第1項所述之方法,其中,該植入工序係以相對垂直於該主動區域的頂部表面以及平行於寬度方向的平面而實質上未傾斜地執行。
  7. 如申請專利範圍第1項所述之方法,復包括在執行該植入工序時以植入遮罩覆蓋第二主動區域,其中,該第二主動區域上形成有不平行於該閘極電極結構的第二閘極電極結構。
  8. 如申請專利範圍第7項所述之方法,復包括: 從該第二主動區域去除該植入遮罩,並形成進一步的植入遮罩以遮蔽該主動區域,以及執行第二植入工序以透過第二p通道電晶體的該第二主動區域的第一側壁與第二側壁引入該汲極與源極摻雜物種類,其中,該第二主動區域的該第一與第二側壁定義該第二主動區域的寬度。
  9. 如申請專利範圍第1項所述之方法,復包括在執行該植入工序前將半導體合金藉由磊晶生長工序形成在該主動區域的至少一部分中。
  10. 如申請專利範圍第9項所述之方法,其中,形成該半導體合金包括將壓縮應力誘導半導體合金形成在該主動區域中。
  11. 如申請專利範圍第9項所述之方法,其中,形成該半導體合金包括將閾值電壓調整半導體合金形成在該主動區域中。
  12. 一種製造半導體裝置之方法,包括:將閘極電極結構形成在半導體裝置的主動區域上,該主動區域具有長度及寬度,並藉由相對該主動區域而凹入的隔離區域橫向界定;將汲極與源極摻雜物種類藉由執行植入工序引入該主動區域中,該植入工序包括相對該主動區域的頂部表面的法線的至少兩個不同的傾斜角度,並且定義成垂直於該主動區域的該頂部表面以及平行於寬度方向的第一平面中,該植入工序復包括無變化植入角度,該無變化植入角度定義成垂直於該頂部表面以及垂直於該寬度方向的第二平面中;以及將金屬矽化物形成在該主動區域的一部分中。
  13. 如申請專利範圍第12項所述之方法,其中,該至少兩個不同的傾斜角度中的兩個分別具有相同的值與不同的方向。
  14. 如申請專利範圍第12項所述之方法,其中,該至少兩個不同的傾斜角度中的每一個的值在8.5度角至20度角的範圍內。
  15. 如申請專利範圍第14項所述之方法,其中,該至少兩個不同的傾斜角度中的每一個的值為15度角或更小。
  16. 如申請專利範圍第12項所述之方法,其中,形成該閘極電極結構包括在執行該植入工序前形成間隔件結構,以及將該間隔件結構的至少一部分使用作為遮罩。
  17. 如申請專利範圍第12項所述之方法,復包括在執行該植入工序前將半導體合金形成在該主動區域的至少一部分中。
  18. 如申請專利範圍第12項所述之方法,復包括在執行該植入工序時以植入遮罩覆蓋第二主動區域,其中,該第二主動區域上形成有不平行於該閘極電極結構的第二閘極電極結構。
  19. 如申請專利範圍第18項所述之方法,復包括將該植入遮罩從該第二主動區域去除,並形成進一步的植入遮罩以遮蔽該主動區域,以及執行第二植入工序。
  20. 一種半導體裝置,包括:隔離區域,形成在基板上方;P通道電晶體的含矽主動區域,由該隔離區域橫向包覆,該隔離區域相對該含矽主動區域而凹入,該含矽主動區域具有藉由一對第一側壁所界定的長度以及藉由一對第二側壁所界定的寬度;閘極電極結構,形成於該含矽主動區域上; 汲極與源極區域,係藉由執行植入工序將汲極與源極摻雜物種類引入該含矽主動區域中,該植入工序包括相對該含矽主動區域的頂部表面的法線的至少兩個不同的傾斜角度,並且定義成垂直於該含矽主動區域的該頂部表面以及平行於寬度方向的第一平面中,該植入工序復包括無變化植入角度,該無變化植入角度定義成垂直於該頂部表面以及垂直於該寬度方向的第二平面中,以使該汲極與源極區域包括在該第一側壁處的第一平均摻雜物濃度,該第一平均摻雜物濃度低於在該第二側壁處的第二平均摻雜物濃度;以及金屬矽化物,形成於該含矽半導體區域的一部分中,該金屬矽化物位於該汲極與源極區域內。
TW102115221A 2012-05-30 2013-04-29 藉由傾斜植入於p通道電晶體之主動區中的源極和汲極架構 TWI545629B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/483,759 US8664072B2 (en) 2012-05-30 2012-05-30 Source and drain architecture in an active region of a P-channel transistor by tilted implantation

Publications (2)

Publication Number Publication Date
TW201401345A TW201401345A (zh) 2014-01-01
TWI545629B true TWI545629B (zh) 2016-08-11

Family

ID=49579715

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102115221A TWI545629B (zh) 2012-05-30 2013-04-29 藉由傾斜植入於p通道電晶體之主動區中的源極和汲極架構

Country Status (6)

Country Link
US (1) US8664072B2 (zh)
KR (1) KR20130135123A (zh)
CN (1) CN103456629B (zh)
DE (1) DE102013209685B4 (zh)
SG (1) SG195454A1 (zh)
TW (1) TWI545629B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8927377B2 (en) * 2012-12-27 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming FinFETs with self-aligned source/drain
DE102015102130B4 (de) * 2015-02-13 2022-07-14 Infineon Technologies Ag Halbleiterbauelemente und ein Verfahren zum Bilden eines Halbleiterbauelements

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5177027A (en) 1990-08-17 1993-01-05 Micron Technology, Inc. Process for fabricating, on the edge of a silicon mesa, a MOSFET which has a spacer-shaped gate and a right-angled channel path
KR100344818B1 (ko) * 1997-09-24 2002-11-18 주식회사 하이닉스반도체 반도체소자및그의제조방법
US6580137B2 (en) 2000-08-29 2003-06-17 Boise State University Damascene double gated transistors and related manufacturing methods
US7888201B2 (en) 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US6949482B2 (en) 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
US7387942B2 (en) 2003-12-09 2008-06-17 Promos Technologies Inc. Substrate isolation in integrated circuits
US6881635B1 (en) 2004-03-23 2005-04-19 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
WO2005101477A1 (ja) 2004-04-14 2005-10-27 Fujitsu Limited 半導体装置及びその製造方法
JP4675585B2 (ja) 2004-06-22 2011-04-27 シャープ株式会社 電界効果トランジスタ
US7344985B2 (en) 2005-04-01 2008-03-18 Texas Instruments Incorporated Nickel alloy silicide including indium and a method of manufacture therefor
US7465976B2 (en) 2005-05-13 2008-12-16 Intel Corporation Tunneling field effect transistor using angled implants for forming asymmetric source/drain regions
US20060286757A1 (en) 2005-06-15 2006-12-21 John Power Semiconductor product and method for forming a semiconductor product
US7482615B2 (en) 2005-07-21 2009-01-27 International Business Machines Corporation High performance MOSFET comprising stressed phase change material
US7531423B2 (en) 2005-12-22 2009-05-12 International Business Machines Corporation Reduced-resistance finFETs by sidewall silicidation and methods of manufacturing the same
US7994010B2 (en) 2007-12-27 2011-08-09 Chartered Semiconductor Manufacturing Ltd. Process for fabricating a semiconductor device having embedded epitaxial regions
US8093665B2 (en) 2009-05-18 2012-01-10 Macronix International Co., Ltd. Semiconductor device and method for fabricating the same
US20100327361A1 (en) 2009-06-26 2010-12-30 Kamel Benaissa Low cost symmetric transistors
US8313999B2 (en) 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8426917B2 (en) 2010-01-07 2013-04-23 International Business Machines Corporation Body-tied asymmetric P-type field effect transistor
CN101887917A (zh) * 2010-06-10 2010-11-17 复旦大学 一种场效应晶体管及其制备方法
US8785287B2 (en) * 2010-07-06 2014-07-22 Globalfoundries Singapore PTE, LTD. Method to tune narrow width effect with raised S/D structure
US8211759B2 (en) 2010-10-21 2012-07-03 International Business Machines Corporation Semiconductor structure and methods of manufacture
DE102010064283B4 (de) 2010-12-28 2012-12-27 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Herstellung eines selbstjustierten Steg-Transistors auf einem Vollsubstrat durch eine späte Stegätzung
US8614134B2 (en) * 2011-03-21 2013-12-24 Globalfoundries Inc. Shallow source and drain architecture in an active region of a semiconductor device having a pronounced surface topography by tilted implantation

Also Published As

Publication number Publication date
US20130320409A1 (en) 2013-12-05
US8664072B2 (en) 2014-03-04
CN103456629A (zh) 2013-12-18
DE102013209685B4 (de) 2015-03-12
TW201401345A (zh) 2014-01-01
CN103456629B (zh) 2016-09-07
SG195454A1 (en) 2013-12-30
DE102013209685A1 (de) 2013-12-05
KR20130135123A (ko) 2013-12-10

Similar Documents

Publication Publication Date Title
US8022471B2 (en) Trench metal oxide semiconductor field effect transistor (MOSFET) with low gate to drain coupled charges (Qgd) structures
US7799641B2 (en) Method for forming a semiconductor device having recess channel
US20020142529A1 (en) Semiconductor device comprising buried channel region and method for manufacturing the same
KR101925012B1 (ko) 반도체 장치 및 그의 제조 방법
US20110121386A1 (en) Trench MOSFET with trenched floating gates as termination
JP4201764B2 (ja) 電界救済特性を有するトレンチ型mosfet
CN109461702B (zh) 晶体管和用于制作晶体管的方法
TWI385735B (zh) 於半導體裝置中形成應變通道之方法
US20090315104A1 (en) Trench MOSFET with shallow trench structures
US7300848B2 (en) Semiconductor device having a recess gate for improved reliability
TW201637081A (zh) 在鰭式場效電晶體中形成擊穿中止區域的方法
TWI527096B (zh) Mos電晶體及其形成方法
US8614134B2 (en) Shallow source and drain architecture in an active region of a semiconductor device having a pronounced surface topography by tilted implantation
US7851329B2 (en) Semiconductor device having EDMOS transistor and method for manufacturing the same
TWI545629B (zh) 藉由傾斜植入於p通道電晶體之主動區中的源極和汲極架構
JP2008085205A (ja) 半導体装置及びその製造方法
TWI472032B (zh) 半導體裝置及其製造方法
US20240030314A1 (en) Semiconductor device and method of manufacturing the same
US8853018B2 (en) Method of manufacturing semiconductor device having multi-channels
EP3671860A1 (en) Semiconductor transistor device and method of manufacturing the same
KR100585009B1 (ko) 반도체 소자의 게이트 전극 형성 방법
KR100876833B1 (ko) 반도체 소자 및 그의 형성 방법
JP5359107B2 (ja) 半導体装置及びその製造方法
JP2007227694A (ja) 半導体装置およびその製造方法
KR20060002481A (ko) 리세스 채널 cmos 소자 제조방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees