TWI517299B - 在高深寬比特徵結構中沉積金屬的方法 - Google Patents

在高深寬比特徵結構中沉積金屬的方法 Download PDF

Info

Publication number
TWI517299B
TWI517299B TW100124666A TW100124666A TWI517299B TW I517299 B TWI517299 B TW I517299B TW 100124666 A TW100124666 A TW 100124666A TW 100124666 A TW100124666 A TW 100124666A TW I517299 B TWI517299 B TW I517299B
Authority
TW
Taiwan
Prior art keywords
substrate
metal atoms
opening
power
target
Prior art date
Application number
TW100124666A
Other languages
English (en)
Other versions
TW201207996A (en
Inventor
利奇艾倫
布朗卡爾
皮皮頓約翰
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201207996A publication Critical patent/TW201207996A/zh
Application granted granted Critical
Publication of TWI517299B publication Critical patent/TWI517299B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3492Variation of parameters during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3327Coating high aspect ratio workpieces

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

在高深寬比特徵結構中沉積金屬的方法
本發明之實施例大體而言係關於在形成於基板上之高深寬比特徵結構中沉積金屬的方法。
穿矽通孔(TSV)或類似技術需要將連續含金屬層沉積在基板上之高深寬比特徵結構中。例如,該待沉積之含金屬層可以是阻障層,以防止材料從該特徵結構擴散進入該基板或晶種層,可運用電鍍或其他適合技術利用該晶種層作為填充該特徵結構的模板。高深寬比特徵結構,例如,可包含深寬比約5:1或更大的特徵結構。不幸地,發明人發現習知直流(DC)濺射,例如在DC物理氣相沉積(DC PVD)腔室內執行的DC濺射,無法提供高深寬比特徵結構之底表面適當的覆蓋。例如,發明人發現就某些金屬而言,例如鈦(Ti)、鉭(Ta)或銅(Cu),該底表面覆蓋會低於約3%。該特徵結構中缺乏連續表面覆蓋會在填充該特徵結構期間造成空隙的形成。此外,雖然可調整DC PVD製程條件以達到可接受的底表面覆蓋,但該等條件需要長的沉積時間並將基板暴露在高溫下,此舉顯著衝擊每基板成本,且不良地將基板暴露在高溫下,導致材料不良地在該基板的現有區域間擴散。
因此,發明人研發出改良的技術以在高深寬比特徵結構中沉積連續含金屬層。
本發明提供一種在形成於基板上之高深寬比特徵結構中沉積金屬的方法。在某些實施例中提供一種在物理氣相沉積(PVD)腔室內處理基板的方法,該基板具有開口,該開口係形成在該基板之第一表面內,並且朝向該基板之相對的第二表面延伸進入該基板內,該開口擁有高度對寬度至少5:1的深寬比。在某些實施例中,該方法可包含以超高頻(VHF)頻率施加第一射頻(RF)功率至含金屬的靶材,以從電漿形成氣體形成電漿,該靶材係設置在該基板上方;施加DC功率至該靶材,以引導電漿朝向該靶材;利用該電漿從該靶材濺射金屬原子,同時維持PVD腔室內的第一壓力使足以游離化從該靶材濺射出的大部分金屬原子;在該開口的底表面上以及該基板的第一表面上沉積第一複數個金屬原子;施加第二RF功率至設置在該基板下方的第一電極,以將至少某些第一複數個金屬原子從該底表面重分配至該開口之側壁的下部;以及藉由減少PVD腔室內的游離化金屬原子數量在該側壁上部沉積第二複數個金屬原子,其中該第一複數個金屬原子與該第二複數個金屬原子形成第一層,該第一層實質上係沉積在該開口的全表面上。
下文描述本發明之其他及進一步實施例。
本文提供在形成於基板上之高深寬比特徵結構中沉積金屬的方法。本發明方法有利地提供該高深寬比特徵結構表面之金屬連續覆蓋,同時維持高製程產量與低基板溫度。本發明方法可與穿矽通孔(TSV)應用並用,例如,先通孔或後通孔之製造方法,以及與可能需要在高深寬比開口中沉積連續金屬層的其他適合應用並用。
第1圖圖示根據本發明某些實施例之處理基板的方法100之流程圖。該方法100在下文係對照如第2圖所示之填充高深寬比特徵結構的各個階段描述。此外,該方法100可在具備DC與射頻(RF)功率源兩者之任何適合的PVD製程腔室內執行,例如在下文描述並在第3圖中圖示的製程腔室300。
該方法100始於步驟102,藉由提供基板200至PVD腔室,例如製程腔室300。該基板200包含高深寬比開口202,該開口202係形成在該基板200的第一表面204內,並且該開口202係朝向該基板200之相對的第二表面206延伸進入該基板200內。該基板200可以是具有高深寬比開口形成在基板內的任何適合基板。例如,該基板200可包含矽(Si)、氧化矽(SiO2)或諸如此類之一或多種。此外,該基板200可包含額外材料層,或者可擁有一或多個完成或部分完成的結構形成在基板內或基板上。
該開口可以是擁有高深寬比的任何開口,例如通孔、溝槽、雙鑲嵌結構或諸如此類。在某些實施例中,該開口202可擁有至少約5:1的高度對寬度深寬比(例如高深寬比)。例如,在某些實施例中,該深寬比可以是約10:1或更大,例如約15:1。該開口202可藉由運用任何適合蝕刻製程蝕刻該基板來形成。該開口202包含底表面208及側壁210,如圖所示。
在某些實施例中,底表面208與側壁210可在如下所述沉積金屬原子前先覆蓋有一或多個層。例如,並且如第2A圖中虛線所示,該開口202的底表面與側壁及該基板200的第一表面可由氧化物層212覆蓋,例如氧化矽(SiO2)或諸如此類。在提供該基板200至PVD腔室前,可先行沉積或成長該氧化物層,例如在化學氣相沉積(CVD)腔室或氧化腔室內。該氧化物層212可充當該基板與待隨後沉積在該開口內的含金屬層間之電氣及/或實體阻障,及/或可用作在下述沉積製程期間較該基板之原表面為佳的附著表面。
在某些實施例中,可在該氧化物層212上方沉積阻障層214(如圖所示),或是沉積在該開口的底表面與側壁及該基板的第一表面上方,若無氧化物層存在的話。該阻障層214可發揮與上述氧化物層212類似的作用。在某些實施例中,該阻障層214可包含鈦(Ti)、鉭(Ta)、鈷(Co)、鈦、鉭及/或鈷的氧化物或氮化物或諸如此類之至少一種。可用任何適合方法沉積該阻障層214,例如CVD或PVD,包含運用下述方法100在該開口202內形成連續阻障層。
在某些實施例中,並且如第2A圖之虛體所示,該開口202可完全延伸通過該基板200,並且第二基板218的上表面216可形成該開口202的底表面208。該第二基板218可毗鄰該基板200的第二表面206設置。此外(如第2F圖所示並在下文論述),元件,例如邏輯元件或諸如此類,或是元件需要電氣連接的部分,例如閘極、接觸墊、導電通孔或諸如此類,可設置在該第二基板218的上表面216內並與該開口202對準。
在步驟104,以VHF頻率施加第一RF功率(例如從RF功率源318,在下文描述)至含金屬的靶材,該靶材係設置在該基板200上方,以從電漿形成氣體形成電漿。例如,該靶材可以是下文論述的靶材306。該靶材可包含純度適合的金屬、金屬合金或諸如此類的一或多種,以在該開口202的表面以及該基板200的第一表面204上形成所要材料之連續阻障層或晶種層。例如,該靶材可包含鈦(Ti)、鉭(Ta)、銅(Cu)、鋁(Al)、鈷(Co)、鎢(W)、其合金或諸如此類。該電漿形成氣體可包含氬(Ar)、氖(Ne)、氪(Kr)、氦(He)、氫(H2)、氮(N2)或諸如此類,或其組合。
該第一RF功率可以VHF頻率施加,以進行從該電漿形成氣體形成電漿以及利用該電漿游離化從該靶材濺射出的金屬原子的一或多者。如本文所使用,VHF頻率係範圍從約27 MHz至約162 MHz的頻率。在某些實施例中,所施加的VHF頻率約是60 MHz。提高VHF頻率可增加電漿密度及/或從該靶材濺射出之金屬原子的游離化數量。
在步驟106,施加DC功率至該靶材,例如,從耦合至該靶材306的DC功率源320,如下文關於第3圖所述。該DC功率可偏壓該靶材,以促進引導電漿朝向該靶材。該DC功率強度範圍可從約1至約4千瓦(kW)。在某些實施例中,該DC功率可以是約2 kW。可調整該DC功率以控制所濺射出的金屬原子在該基板上的沉積速率。例如,增加該DC功率會導致電漿與該靶材間互動的增強,而增加金屬原子從該靶材的濺射。
在步驟108,金屬原子係利用該電漿從該靶材濺射出,同時維持該PVD腔室內的第一壓力使足以游離化從該靶材濺射出的大部分金屬原子。例如,大部分金屬原子的範圍可以是抵達該晶圓之總金屬原子數量之約50%至約75%。在某些實施例中,並且例如,最初從該靶材濺射出的金屬原子可能大部分未游離化,僅在一旦通過該電漿後,金屬原子才會游離化。例如,大部分的中性金屬原子會流失在該製程腔室的屏蔽,因此抵達該晶圓的金屬原子應是大多數游離化的。該第一壓力,除了所施加的第一RF功率與DC功率外,可取決於製程腔室幾何結構(例如基板尺寸、靶材至基板距離及諸如此類)。例如,在靶材至基板間隙配置為約60毫米(mm)的腔室中,該第一壓力的範圍可從約60至約300毫托耳(mT)。在某些實施例中,該第一壓力係約100 mT。可利用該電漿形成氣體的流速及/或額外氣體,例如惰性氣體的流速來維持該腔室中的第一壓力,該額外氣體可與該電漿形成氣體共流。該第一壓力可在該靶材與該基板之間提供高密度氣體分子,濺射出的金屬原子可與氣體分子碰撞而游離化。另外可用壓力來控制從該靶材濺射出之金屬原子的游離化數量。例如,增加該腔室內的壓力及/或拉長該靶材至基板的間隙可增加與金屬原子的碰撞次數,且因而增加游離化金屬原子的數量。
在步驟110,在該基板200的上表面204上以及該開口202的底表面208上沉積第一複數個金屬原子220,如第2B圖所示。可用上文論述的處理條件來沉積該第一複數個金屬原子220,例如該第一壓力、第一RF功率、DC功率及/或該VHF頻率。此類處理條件可促進使該第一複數個金屬原子220的方向大約與基板200垂直,如第2B圖所示。在某些實施例中,可在該第一複數個金屬原子220的沉積期間施加可選的第三RF功率至該基板200。可以範圍從約400 kHz至約27 MHz的頻率以及高至約50 W的功率施加該第三RF功率。在某些實施例中,該第三RF功率的頻率可以是約2 MHz,或約13.56 MHz,或兩者,若可選的第二RF功率源額外耦合該PVD腔室的基板支撐座。該可選的第三RF功率可以是小的,以最小化沉積金屬原子的能量,例如以最小化任何懸垂物形成在該開口202的口部上方。
或者,達到如同施加可選的第三RF功率之類似效果的另一可選的實施例是使用調諧電路,該調諧電路可連結至該基板支撐件。可用該調諧電路(LC)來改變現有基板支撐調諧電路的阻抗,該現有基板支撐調諧電路耦合RF偏壓源至該基板支撐件,以接受或拒絕來自該電漿之電流。可藉由改變電抗來調整游離化物質的抵達能量,以實現低能量或高能量製程,此等同於低或高偏壓功率。
在步驟112,施加第二RF功率以將至少某些第一複數個金屬原子220從該開口202之底表面208重分配至該開口202的側壁210的下部222,如第2C圖所示。該下部222可包含佔該開口202的側壁210約50%之下端部分。可以與在以上步驟110所論述相同的頻率施加該第二RF功率。可使用第二RF功率來增加入射在該基板200上的離子224之離子能量及/或入射角,如第2C圖所示。例如,入射在該基板200上的離子可包含游離化金屬原子、來自電漿的游離化元素或其組合。可增強該第二RF功率以增加離子能量,例如,以增加離子對於該開口202之底表面208上的沉積金屬原子之衝擊,如第2B圖所示。離子對該開口之底表面208衝擊的增加可促進金屬原子之至少某些該第一複數個金屬原子220重分配至該下部222上,如圖所示。該第二RF功率的強度實質上可大於如上述在第一複數個金屬原子220沉積期間施加的可選的第三RF功率的強度。例如,該第二RF功率的強度可大於約50瓦,或範圍從約100至約400瓦。在某些實施例中,該第二RF功率的強度係約200瓦。
在某些實施例中,如第2C圖所示,至少某些第一複數個金屬原子220可從該基板200的上表面204重分配至該開口202內。例如,如第2C圖所示,由於入射離子224相對於該基板200的入射角並非垂直,此現象至少部分歸因於所施加的第二RF功率,至少某些第一複數個金屬原子220可從該上表面204重分配至該開口202的側壁210之上部226。
此外,在某些實施例中,在步驟112重分配至少某些第一複數個金屬原子220至該下部222時,保持該DC功率以繼續利用電漿從該靶材濺射金屬原子。或者或是組合使用,在步驟112重分配至少某些第一複數個金屬原子220時,可維持該第一RF功率或該第一壓力的至少一者以繼續沉積該第一複數個金屬原子220。在某些實施例中,降低該第一壓力至第二壓力以減少入射在該基板200上的游離化金屬原子數量。該第二壓力的範圍可從約40至約80 mTorr。
或者,在步驟112重分配期間可實質減少或可終止該第一複數個金屬原子220的沉積。例如,並且在某些實施例中,可在重分配期間降低或關閉施加至該靶材的DC功率,以避免金屬原子從該靶材濺射出。可用此類實施例來降低重分配期間沉積在該上表面204或該底表面208上的金屬原子層的厚度。因此,在此替代實施例中,入射在該基板200上的離子224實質上可能包含該電漿形成氣體的游離化元素。
在步驟114,藉由減少PVD腔室內的游離化金屬原子數量在該側壁210的上部226上沉積第二複數個金屬原子228(在第2D圖中所示),其中該第一複數個金屬原子220及該第二複數個金屬原子228共同形成第一層230,該第一層230實質上係沉積在該開口202的全部表面上(在第2E圖中所示)。該上部226可包含佔該開口202的側壁210約50%之上端部分。該第二複數個金屬原子228的沉積可包含降低該第一RF功率、該第一壓力或增加該DC功率的一或多者,以達到在該側壁210的上部226上沉積該第二複數個金屬原子228的目的。例如,並且在某些實施例中,可降低該第一壓力至第三壓力,或者替代地,可降低該第二壓力至該第三壓力,若該第一壓力已如前述被降低。在某些實施例中,該第三壓力的範圍可從約10至約40 mTorr。例如,該第三壓力可能足以減少游離化金屬原子的數量,此舉轉而增加入射在該基板200上的第二複數個金屬原子228內中性金屬原子的數量。該等中性金屬原子不具電荷,可能不會受到外力影響,例如來自電漿、基板RF偏壓或諸如此類之外力。因此,至少某些中性金屬原子可以非垂直角度入射至該基板200並沉積在該上部226上,如第2D圖所示。
或者,或與沉積該第二複數個金屬原子228期間降低該PVD腔室內的壓力組合使用,可將該第一RF功率從第一強度降至第二強度,以減少該PVD腔室內的游離化金屬原子數量或該PVD腔室內的濺射金屬原子數量之至少一者。在某些實施例中,該第二強度的範圍可從約1 kW至約3 kW。
或者,或與沉積該第二複數個金屬原子228的任何上述實施例組合使用,可將該DC功率從第一強度降至第二強度,以藉由減少該PVD腔室內的濺射金屬原子數量來減少該PVD腔室內的游離化金屬原子數量。在某些實施例中,該第二強度的範圍可從約0.5 kW至約2 kW。
或者,或與沉積該第二複數個金屬原子228的任何上述實施例組合使用,可將該第二RF功率從第一強度降至第二強度或降至0強度,以減少或避免游離化物質,例如該電漿形成氣體的游離化元素,將沉積的金屬原子從該開口202上部226移除。在某些實施例中,該第二RF功率的第二強度可以是約低於50 W。
在步驟114形成該第一層230後,可藉由電鍍或類似的處理技術在該第一層230上沉積材料232以填充該開口202來繼續進行該方法100,如第2F圖所示。該第一層230可用作晶種層,該材料232係沉積在晶種層上。該材料232可包含金屬、金屬合金或諸如此類。在某些實施例中,該材料包含銅(Cu)、鎢(W)或諸如此類的一或多者。在某些實施例中,該材料232與該第一層230的金屬是相同材料。
在某些實施例中,並且如上文參考第2A圖所論述,可在執行上述方法步驟104-114之前先提供該第二基板218。因此,如第2F圖所示,該第二基板218係毗鄰該基板200的第二表面206設置,在此該開口202完全延伸通過該基板200,而該第二基板218的上表面216形成該開口202的底表面。在某些實施例中,元件234可設置在該第二基板的上表面216內,並與該開口202對準。可進一步處理該基板200的第一表面204以移除來自填充製程的過量材料、沉積的金屬原子、可能存在的其他層部分(例如氧化物層212及/或阻障層214)。例如,可用化學機械研磨、拋光、蝕刻或諸如此類來移除非所欲材料並暴露出該第一表面204,如第2F圖所示。
或者,在某些實施例中,可能在執行方法步驟104-114前並不先提供該第二基板218。在此類實施例中,並且在如上述沉積該材料232後,該方法可藉由從該基板200的第二表面206移除材料來繼續進行,以移除該開口202的底表面208並暴露出該第一層230或該沉積材料232(在第2F圖中該第一層230係圖示為已暴露出)之至少一者。例如,可從該基板200的第二表面206移除材料,例如,利用化學機械研磨、拋光或諸如此類,以暴露出該第一層230或該沉積材料232之至少一者。
在從該第二表面206移除材料後,該基板200的第二表面206可與該第二基板218的上表面216耦合。在該元件234設置在該第二基板218的上表面216內之實施例中,該元件234可與該基板200內的開口202對準。
第3圖圖示根據本發明某些實施例之物理氣相沉積腔室(製程腔室300)的示意性剖面圖。適合的PVD腔室之實例包含ALPS Plus與SIP ENCORE PVD製程腔室,兩者皆可由加州聖塔克拉拉的應用材料公司購得。來自應用材料公司或其他製造商的其他製程腔室也可受益於本文揭示的發明設備。
該製程腔室300含有基板支撐座302,用以容納基板304在基板支撐座302上;以及濺射源,例如靶材306。該基板支撐座302可定位於接地圍封件內,該接地圍封件可以是腔室壁308(如圖所示)或接地屏蔽(圖示接地屏蔽340,在該靶材306上方覆蓋至少某些部分的腔室300。在某些實施例中,該接地屏蔽340可延伸至該靶材下方以同時封住該支撐座302)。
在某些實施例中,該製程腔室包含饋送結構,以耦合RF和DC能量至該靶材306。該饋送結構係一種設備,用來耦合RF和DC能量至該靶材,或者至含有該靶材,例如,如本文所述,的組件。該饋送結構的第一端可耦合至RF功率源318及DC功率源320,RF功率源318及DC功率源320可分別用來提供RF和DC能量至該靶材306。例如,該DC功率源320可用來施加負電壓,或偏壓,至該靶材306。在某些實施例中,該RF功率源318供應的RF能量之頻率範圍可從約2 MHz至約60 MHz,或者,例如,可使用非限制性頻率,例如2 MHz、13.56 MHz、27.12 MHz、40.68 MHz或60 MHz。在某些實施例中,可提供複數個RF功率源(亦即,兩個或兩個以上),來以複數種上述頻率提供RF能量。該饋送結構可由適合的導電材料製成,以傳導來自該RF功率源318和該DC功率源320的RF和DC能量。
在某些實施例中,該饋送結構可有適合長度,該適合長度實質上分別促進RF和DC能量在該饋送結構周邊的均勻分佈。例如,在某些實施例中,該饋送結構可具有約1至約12吋,或約4吋的長度。在某些實施例中,主體可擁有至少約1:1的長度對內徑比。提供至少1:1或更大的比率提供從該饋送結構之更均勻的射頻輸送(亦即,該RF能量圍繞該饋送結構更均勻分散,以使RF近於耦合至該饋送結構的真實中心點)。該饋送結構的內徑可以盡可能小,例如,直徑從約1吋至約6吋,或約4吋。提供較小的內徑促進改良長度對內徑比,而不會增加該饋送結構的長度。
該饋送結構的第二端可耦合至來源分佈平板322。該來源分佈平板包含孔324,該孔324係穿透該來源分佈平板322設置,並與該饋送結構的中央開口對準。該來源分佈平板322可由適合的導電材料製成,以傳導來自該饋送結構的RF和DC能量。
該來源分佈平板322可經由傳導構件325耦合至該靶材306。該傳導構件325可以是擁有第一端326的管狀構件,該第一端326係耦合至該來源分佈平板322之面向靶材表面328,在接近該來源分佈平板322外緣處。該傳導構件325進一步包含第二端330,該第二端330係耦合至該靶材306之面向來源分佈平板表面332(或是耦合至該靶材306的背板346),在接近該靶材306外緣處。
該傳導構件325的內壁、該來源分佈平板322的面向靶材表面328以及該靶材306的面向來源分佈平板表面322界定出空腔334。該空腔334係經由該來源分佈平板322的孔324流體耦合至該主體的中央開口315。該空腔334與該主體的中央開口315可用來至少部分容納可旋轉磁控管組件336的一或多個部分,如第3圖所示並在下文進一步所述。在某些實施例中,該空腔可至少部分填充有冷卻流體,例如水(H2O)或諸如此類。
可提供接地屏蔽340以覆蓋該製程腔室300的上蓋之外表面。該接地屏蔽340可經由,例如,該腔室主體的接地連接耦合至接地。該接地屏蔽340擁有中央開口,以容許該饋送結構穿過該接地屏蔽340,以耦合至該來源分佈平板322。該接地屏蔽340可包含任何適合的導電材料,例如鋁、銅或諸如此類。在該接地屏蔽340與該分佈平板322的外表面、該導電構件325以及該靶材306(及/或背板346)之間提供絕緣間隙339,以避免RF和DC能量被直接導引至接地。該絕緣間隙可經填充有空氣或某些其他適合介電材料,例如陶瓷、塑膠或諸如此類。
在某些實施例中,接地環可設置在該饋送結構主體和下部周圍。該接地環係耦合至該接地屏蔽340,並且可以是該接地屏蔽340之整合部分或是耦合至該接地屏蔽之分離部分,以提供該饋送結構的接地。該接地環可由適合導電材料製成,例如鋁或銅。在某些實施例中,設置在該接地環內徑與該饋送結構主體的外徑之間的間隙可保持最小,並且剛好足以提供電氣隔離。該間隙可經填充有隔離材料,例如塑膠或陶瓷,或者可以是氣隙。該接地環避免該RF饋送(例如,電氣饋送205,在下文論述)與該主體之間的干擾(cross-talk),藉此改良電漿與處理均勻性。
隔離平板338可設置在該來源分佈平板322與該接地屏蔽340之間,以避免RF和DC能量被直接導引至接地。該隔離平板338擁有中央開口,以容許該饋送結構穿過該隔離平板338並耦合至該來源分佈平板322。該隔離平板338可包含適合介電材料,例如陶瓷、塑膠或諸如此類。或者,可提供氣隙來代替該隔離平板338。在提供氣隙來代替該隔離平板的實施例中,該接地屏蔽340在結構上會足夠穩固以支撐擱置在該接地屏蔽340上的任何組件。
該靶材306可經由介電隔離器344支撐在接地的導電鋁配接器342上。該靶材306包含待在濺射期間沉積在該基板304上的材料,例如金屬或金屬氧化物。在某些實施例中,該背板346可耦合至該靶材306的面向來源分佈平板表面332。該背板346可包含導電材料,例如銅-鋅、銅-鉻或與該靶材相同的材料,以使RF和DC功率可經由該背板346耦合至該靶材306。或者,該背板346可以不導電,並且可包含導電元件(未圖示),例如電氣饋通或諸如此類,以耦合該靶材306的面向來源分佈平板表面332至該導電構件325的第二端330。該背板346可被包含在內以,例如,改良該靶材306的結構穩定性。
該基板支撐座302擁有材料容納表面,該材料容納表面面向該靶材306的主表面並支撐待濺射塗佈的基板304使基板304呈平面位置,與該靶材306的主表面相對。該基板支撐座302可將該基板304支撐在該製程腔室300的中央區域348內。該中央區域348係經界定為處理期間位於該基板支撐座302上方的區域(例如,當處於處理位置時介於該靶材306與該基板支撐座302之間)。
在某些實施例中,該基板支撐座302可以是能夠垂直移動的,透過連接至底部腔室壁352的波紋管350,以容許該基板304經由位於該處理腔室300下部之負載鎖定室(未圖示)移送至該基板支撐座302上,並在之後升至沉積,或處理位置。可經由質流控制器356從氣源354供應一或多種處理氣體至該腔室300下部內。可提供排氣口358並經由閥門360連接至幫浦(未圖示),以便該製程腔室300的內部空間之排氣,並促進在該製程腔室300內部維持所要壓力。
RF偏壓功率源362可耦合至該基板支撐座302,以在該基板304上誘導負DC偏壓。此外,在某些實施例中,負DC自偏壓可在處理期間形成在該基板304上。例如,該RF偏壓功率源362供應的RF功率之頻率範圍可從約2 MHz至約60 MHz,例如,可使用非限制性頻率,例如2 MHz、13.56 MHz或60 MHz。視情況,第二RF偏壓功率源(未圖示)可耦合至該基板支撐座302並提供任何上述頻率以與該RF偏壓功率源362並用。在其他應用中,該基板支撐座302可接地或維持電氣浮接(electrically floating)。例如,電容調諧器364可耦合至該基板支撐座,以為不要求RF偏壓功率的應用調整該基板304上的電壓。
可鄰近該靶材306的背表面(例如,面向來源分佈平板表面332)設置可旋轉磁控管組件336。該可旋轉磁控管組件336包含複數個由底板368支撐的磁控管366。該底板368連接至旋轉桿370,該旋轉桿370與該腔室300及該基板304的中央軸重合。馬達372可耦合至該旋轉桿370上端,以驅動該磁控管組件336的旋轉。該磁控管366在該腔室300內提供磁場,通常是平行且靠近該靶材306的表面,以俘獲電子並增加局部電漿密度,此舉轉而增加濺射率。磁控管366在該腔室300頂端周圍產生電磁場,並且磁控管366係經旋轉以旋轉該電磁場,該電磁場影響該製程的電漿密度以更均勻地濺射該靶材306。例如,該旋轉桿370每分鐘可旋轉約0至約150次。
在某些實施例中,該腔室300可進一步包含製程套組屏蔽374,該製程套組屏蔽374擁有面對該中央區域348的內表面380。該製程套組屏蔽374可與該配接器342的突出部分376連接。該配接器342轉而密封並接地至該腔室壁308,腔室壁308可以是鋁。大體而言,該製程套組屏蔽374沿著該配接器342的側壁及該腔室壁308往下延伸至低於該基板支撐座302的上表面,並往上回升至觸及該基板支撐座302的上表面為止(例如,在底部形成U形部分384)。或者,該製程套組屏蔽的最底部不需要是U形部分384,而可以是任何適合形狀。當該基板支撐座302位於較低的負載位置時,蓋環386擱置在該製程套組屏蔽374之往上延伸的凸緣388頂端,但當該基板支撐座302位於較高的沉積位置時,該蓋環386係擱置在該基板支撐座302的外緣上,以保護該基板支撐座302不受濺射沉積。可使用額外的沉積環(未圖示)來屏蔽該基板304的周邊不受到沉積。製程套組屏蔽的實施例在下文根據本發明論述。
在某些實施例中,可在該腔室300周圍設置磁控管390,以選擇性地在該基板支撐座302與該靶材306間提供磁場。例如,如第3圖所示,當位於處理位置時,可將磁控管390設置在該腔室壁308外部周圍恰好位於該基板支撐座302上方的區域內。在某些實施例中,該磁控管390可另外或替代地設置在其他位置上,例如毗鄰該配接器342。該磁控管390可以是電磁鐵,並且可耦合至功率源(未圖示)以控制該電磁鐵所產生的磁場強度。
可提供控制器310並將控制器310耦合至該製程腔室300的各個組件以控制該製程腔室300的操作。該控制器310包含中央處理單元(CPU)312、記憶體314以及支援電路316。該控制器310可直接控制該製程腔室300,或經由與特定製程腔室及/或支援系統組件相關聯的電腦(或控制器)來控制。該控制器310可以是能夠用在工業設定上以控制各個腔室及子處理器的任何形式的通用電腦處理器之一種。該控制器310的記憶體314,或電腦可讀取媒體,可以是能輕易取得的一或多種記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、光學儲存媒體(例如,光碟或數位視訊光碟)、隨身碟或任何其他形式的數位儲存器(本端或遠端的)。該支援電路316係耦合至該CPU 312以利用習知方式支援該處理器。此等電路包含快取記憶體、電源、時脈電路、輸入/輸出電路系統及子系統,以及諸如此類。本文所述的發明方法可儲存在該記憶體314中作為軟體常式,該軟體常式可經執行或引動(invoke)以利用本文所述方式控制該製程腔室300的操作。該軟體常式亦可由第二CPU(未圖示)儲存及/或執行,該第二CPU係定位在由該CPU 312控制的硬體遠處。
因此,本文提供在形成於基板上的高深寬比特徵結構中沉積金屬的方法。發明方法有利地提供該高深寬比特徵結構表面之金屬連續覆蓋,同時維持高製程產量與低基板溫度。該等發明方法可與穿矽通孔(TSV)應用並用,例如,先通孔或後通孔之製造方法,以及與沉積連續金屬層會是有利的其他適合應用並用。
雖然前述內容係針對本發明之實施例,但本發明的其他及進一步實施例可在不脫離本發明基本範疇下設計出。
100...方法
102...步驟
104...步驟
106...步驟
108...步驟
110...步驟
112...步驟
114...步驟
200...基板
202...高深寬比開口
204...第一表面
206...第二表面
208...底表面
210...側壁
212...氧化物層
214...阻障層
216...上表面
218...第二基板
220...金屬原子
222...下部
224...離子
226...上部
228...金屬原子
230...第一層
232...材料
234...元件
300...製程腔室
302...基板支撐座
304...基板
306...靶材
308...腔室壁
310...控制器
312...中央處理單元
314...記憶體
316...支援電路
315...中央開口
318...RF功率源
320...DC功率源
322...來源分佈平板
324...孔
325...傳導構件
326...第一端
328...面向靶材表面
330...第二端
332...面向來源分佈平板表面
334...空腔
336...可旋轉磁控管組件
338...隔離平板
339...絕緣間隙
340...接地屏蔽
342...接地的導電鋁配接器
344...介電隔離器
346...背板
348...中央區域
350...波紋管
352...底部腔室壁
354...氣源
356...質流控制器
358...排氣口
360...閥門
362...RF偏壓功率源
364...電容調諧器
366、390...磁控管
368...底板
370...旋轉桿
372...馬達
374...製程套組屏蔽
376...突出部分
380...內表面
384...U形部分
386...蓋環
388...往上延伸的凸緣
上文簡要概述且下文更詳細論述之本發明之實施例可藉由參考在附圖中圖示之本發明之說明性實施例來理解。但是應注意的是,附圖僅圖示本發明之典型實施例,且因此不應視為係對本發明範疇之限制,因為本發明可允許其他等效實施例。
第1圖圖示根據本發明某些實施例之處理基板的方法之流程圖。
第2A圖-第2F圖圖示根據本發明某些實施例之填充高深寬比開口的各個階段。
第3圖圖示根據本發明某些實施例之物理氣相沉積(PVD)腔室的示意性剖面圖。
為了促進理解,在可能時使用相同的元件符號來表示該等圖式共有的相同元件。圖式未按比例繪製且可為了清楚起見而簡化。預期到一實施例之元件及特徵結構可有利地併入其他實施例而無需進一步詳述。
100...方法
102...步驟
104...步驟
106...步驟
108...步驟
110...步驟
112...步驟
114...步驟

Claims (20)

  1. 一種在一物理氣相沉積(PVD)腔室內處理一基板的方法,該基板具有一開口,該開口係形成在該基板之一第一表面內,並且朝向該基板之一相對的第二表面延伸進入該基板內,該開口擁有高度對寬度至少5:1的一深寬比,該方法包含以下步驟:以一超高頻(VHF)頻率施加一第一射頻(RF)功率至一含一金屬的靶材,以從一電漿形成氣體形成一電漿,該靶材係設置在該基板上方;施加直流(DC)功率至該靶材,以引導該電漿朝向該靶材;利用該電漿從該靶材濺射金屬原子,同時維持該PVD腔室內的一第一壓力使足以游離化從該靶材濺射出的一大部分金屬原子;在該開口的一底表面上以及該基板的該第一表面上沉積第一複數個金屬原子;施加一第二RF功率至設置在該基板下方的一第一電極,以將至少某些該第一複數個金屬原子從該底表面重分配至該開口之一側壁的一下部;以及藉由減少該PVD腔室內的一游離化金屬原子數量在該側壁的一上部沉積第二複數個金屬原子,其中該第一複數個金屬原子與該第二複數個金屬原子形成一第一層,該第一層實質上係沉積在該開口的全表面上。
  2. 如申請專利範圍第1項所述之方法,其中沉積該第一複數個金屬原子的步驟進一步包含以下步驟:施加一強度高至約50瓦的一第三RF功率至該第一電極,以引導該第一複數個金屬原子朝向該開口的該底表面。
  3. 如申請專利範圍第2項所述之方法,其中該第三RF功率的一強度係低於該第二RF功率的強度。
  4. 如申請專利範圍第1項所述之方法,其中至少某些該第一複數個金屬原子係從該基板的該上表面重分配至該開口內。
  5. 如申請專利範圍第1項所述之方法,其中施加該第二RF功率至該第一電極以重分配至少某些該第一複數個金屬原子的步驟進一步包含以下步驟:在重分配至少某些該第一複數個金屬原子至該下部的同時,維持該DC功率的該強度以持續利用該電漿從該靶材濺射金屬原子。
  6. 如申請專利範圍第1項所述之方法,其中施加該第二RF功率至該第一電極以重分配至少某些該第一複數個金屬原子的步驟進一步包含以下步驟: 在重分配至少某些該第一複數個金屬原子至該下部的同時,降低該DC功率的該強度或將該DC功率關閉以避免金屬原子利用該電漿從該靶材濺射出。
  7. 如申請專利範圍第1項所述之方法,其中施加該第二RF功率至該第一電極以重分配至少某些該第一複數個金屬原子的步驟進一步包含以下步驟:在重分配至少某些該第一複數個金屬原子至該開口之該等側壁的該下部的同時,維持該第一RF功率或該第一壓力的至少一者,以持續該第一複數個金屬原子在該基板之該第一表面及該開口之該底表面上的沉積。
  8. 如申請專利範圍第1項所述之方法,其中施加該第二RF功率以重分配至少某些該第一複數個金屬原子的步驟進一步包含以下步驟:將該第一壓力降至一第二壓力。
  9. 如申請專利範圍第8項所述之方法,其中在該等側壁的該上部沉積該第二複數個金屬原子的步驟進一步包含以下步驟:將該第二壓力降至一第三壓力,以減少該PVD腔室內的該游離化金屬原子數量。
  10. 如申請專利範圍第1項所述之方法,其中在該開口之 該等側壁的該上部沉積該第二複數個金屬原子的步驟進一步包含以下步驟:將該第一RF功率的該強度從一第一強度降至一第二強度,以減少該PVD腔室內的該游離化金屬原子數量。
  11. 如申請專利範圍第1項所述之方法,其中在該開口之該等側壁的該上部沉積該第二複數個金屬原子的步驟進一步包含以下步驟:將該DC功率的該強度從一第一強度降至一第二強度,以減少該PVD腔室內的該游離化金屬原子數量。
  12. 如申請專利範圍第1項所述之方法,其中在該開口之該等側壁的該上部沉積該第二複數個金屬原子的步驟進一步包含以下步驟:將該第二RF功率的該強度降至低於約50瓦。
  13. 如申請專利範圍第1項所述之方法,該方法進一步包含以下步驟:蝕刻該基板以在該基板內形成該開口;以及在該基板的該上表面上並且沿著該開口的該等側壁與該底表面形成一氧化物層;以及在沉積金屬原子之前先在該氧化物層上方形成一阻障層。
  14. 如申請專利範圍第11項所述之方法,該方法進一步包含以下步驟:利用一電鍍製程在該第一層上方沉積一材料,以填充該開口。
  15. 如申請專利範圍第14項所述之方法,其中該沉積材料與該金屬係相同材料。
  16. 如申請專利範圍第14項所述之方法,其中該基板係一第一基板,並且該方法進一步包含以下步驟:提供一第二基板,該第二基板係毗鄰該第一基板的該第二表面設置,其中該開口完全延伸穿透該第一基板,並且該第二基板的一上表面形成該開口的底部。
  17. 如申請專利範圍第14項所述之方法,該方法進一步包含以下步驟:移除該開口的該底表面以暴露出該第一層或該沉積材料的至少一者。
  18. 如申請專利範圍第17項所述之方法,其中移除該開口的該底表面的步驟進一步包含以下步驟:利用化學機械研磨至少部分移除該基板的該第二表面,以移除該開口的該底表面。
  19. 如申請專利範圍第18項所述之方法,該方法進一步包含以下步驟:耦合該基板的該第二表面至一第二基板的一上表面。
  20. 如申請專利範圍第19項所述之方法,其中耦合該基板的該第二表面的步驟進一步包含以下步驟:將該開口與一相應元件對準,該相應元件係設置在該第二基板的該上表面內。
TW100124666A 2010-07-30 2011-07-12 在高深寬比特徵結構中沉積金屬的方法 TWI517299B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US36924010P 2010-07-30 2010-07-30
US13/178,870 US8846451B2 (en) 2010-07-30 2011-07-08 Methods for depositing metal in high aspect ratio features

Publications (2)

Publication Number Publication Date
TW201207996A TW201207996A (en) 2012-02-16
TWI517299B true TWI517299B (zh) 2016-01-11

Family

ID=45527174

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100124666A TWI517299B (zh) 2010-07-30 2011-07-12 在高深寬比特徵結構中沉積金屬的方法

Country Status (6)

Country Link
US (1) US8846451B2 (zh)
JP (1) JP5889894B2 (zh)
KR (2) KR101760846B1 (zh)
CN (1) CN103026462B (zh)
TW (1) TWI517299B (zh)
WO (1) WO2012015656A2 (zh)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US9012766B2 (en) 2009-11-12 2015-04-21 Silevo, Inc. Aluminum grid as backside conductor on epitaxial silicon thin film solar cells
US9214576B2 (en) 2010-06-09 2015-12-15 Solarcity Corporation Transparent conducting oxide for photovoltaic devices
US9773928B2 (en) 2010-09-10 2017-09-26 Tesla, Inc. Solar cell with electroplated metal grid
US8563428B2 (en) * 2010-09-17 2013-10-22 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
US9800053B2 (en) 2010-10-08 2017-10-24 Tesla, Inc. Solar panels with integrated cell-level MPPT devices
US9054256B2 (en) 2011-06-02 2015-06-09 Solarcity Corporation Tunneling-junction solar cell with copper grid for concentrated photovoltaic application
CN102627004B (zh) * 2012-03-12 2014-07-30 江苏大学 用于超高密度探针存储的多层相变薄膜及其制备方法
EP2904643B1 (en) 2012-10-04 2018-12-05 SolarCity Corporation Solar cell with electroplated metal grid
US9865754B2 (en) 2012-10-10 2018-01-09 Tesla, Inc. Hole collectors for silicon photovoltaic cells
US9281436B2 (en) 2012-12-28 2016-03-08 Solarcity Corporation Radio-frequency sputtering system with rotary target for fabricating solar cells
US9412884B2 (en) 2013-01-11 2016-08-09 Solarcity Corporation Module fabrication of solar cells with low resistivity electrodes
US10074755B2 (en) 2013-01-11 2018-09-11 Tesla, Inc. High efficiency solar panel
WO2014110520A1 (en) 2013-01-11 2014-07-17 Silevo, Inc. Module fabrication of solar cells with low resistivity electrodes
US9624595B2 (en) 2013-05-24 2017-04-18 Solarcity Corporation Electroplating apparatus with improved throughput
GB201316366D0 (en) * 2013-09-13 2013-10-30 Teer Coatings Ltd Improvements to coating apparatus
US9960021B2 (en) * 2013-12-18 2018-05-01 Applied Materials, Inc. Physical vapor deposition (PVD) target having low friction pads
US9953813B2 (en) * 2014-06-06 2018-04-24 Applied Materials, Inc. Methods and apparatus for improved metal ion filtering
CN105200379B (zh) * 2014-06-25 2018-03-09 北京北方华创微电子装备有限公司 沉积薄膜的磁控溅射方法
US10309012B2 (en) 2014-07-03 2019-06-04 Tesla, Inc. Wafer carrier for reducing contamination from carbon particles and outgassing
JP6329839B2 (ja) 2014-07-29 2018-05-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9899546B2 (en) 2014-12-05 2018-02-20 Tesla, Inc. Photovoltaic cells with electrodes adapted to house conductive paste
US9947822B2 (en) 2015-02-02 2018-04-17 Tesla, Inc. Bifacial photovoltaic module using heterojunction solar cells
US10014179B2 (en) 2015-02-13 2018-07-03 Applied Materials, Inc. Methods for forming cobalt-copper selective fill for an interconnect
US10043670B2 (en) * 2015-10-22 2018-08-07 Applied Materials, Inc. Systems and methods for low resistivity physical vapor deposition of a tungsten film
US9761744B2 (en) 2015-10-22 2017-09-12 Tesla, Inc. System and method for manufacturing photovoltaic structures with a metal seed layer
US9842956B2 (en) 2015-12-21 2017-12-12 Tesla, Inc. System and method for mass-production of high-efficiency photovoltaic structures
US9496429B1 (en) 2015-12-30 2016-11-15 Solarcity Corporation System and method for tin plating metal electrodes
US10115838B2 (en) 2016-04-19 2018-10-30 Tesla, Inc. Photovoltaic structures with interlocking busbars
JP6871067B2 (ja) * 2017-05-31 2021-05-12 株式会社アルバック スパッタリング装置
JP7194725B2 (ja) * 2017-09-05 2022-12-22 アプライド マテリアルズ インコーポレイテッド 3dメモリ構造における高アスペクト比孔形成へのボトムアップアプローチ
US10672919B2 (en) 2017-09-19 2020-06-02 Tesla, Inc. Moisture-resistant solar cells for solar roof tiles
US10438846B2 (en) * 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
US11190128B2 (en) 2018-02-27 2021-11-30 Tesla, Inc. Parallel-connected solar roof tile modules
US11542589B2 (en) * 2018-03-21 2023-01-03 Applied Materials, Inc. Resistance-area (RA) control in layers deposited in physical vapor deposition chamber
BE1026449B1 (fr) * 2018-07-05 2020-02-03 Diarotech Procédé et dispositif de synthèse de diamant par CVD
KR102396184B1 (ko) 2019-03-12 2022-05-10 앱솔릭스 인코포레이티드 패키징 기판 및 이를 포함하는 반도체 장치
WO2020185021A1 (ko) 2019-03-12 2020-09-17 에스케이씨 주식회사 패키징 기판 및 이를 포함하는 반도체 장치
WO2020185020A1 (ko) 2019-03-12 2020-09-17 에스케이씨 주식회사 유리를 포함하는 기판의 적재 카세트 및 이를 적용한 기판의 적재방법
WO2020204473A1 (ko) 2019-03-29 2020-10-08 에스케이씨 주식회사 반도체용 패키징 유리기판, 반도체용 패키징 기판 및 반도체 장치
US11289329B2 (en) * 2019-05-03 2022-03-29 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
CN113366633B (zh) 2019-08-23 2022-07-12 爱玻索立克公司 封装基板及包括其的半导体装置
US20210391176A1 (en) * 2020-06-16 2021-12-16 Applied Materials, Inc. Overhang reduction using pulsed bias
US11315771B2 (en) * 2020-07-14 2022-04-26 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11846013B2 (en) * 2020-07-31 2023-12-19 Applied Materials, Inc. Methods and apparatus for extended chamber for through silicon via deposition
CN115011928B (zh) * 2021-03-05 2024-03-05 台湾积体电路制造股份有限公司 再生靶材的方法及形成材料薄膜的方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6642146B1 (en) * 2001-03-13 2003-11-04 Novellus Systems, Inc. Method of depositing copper seed on semiconductor substrates
WO2002091461A2 (en) 2001-05-04 2002-11-14 Tokyo Electron Limited Ionized pvd with sequential deposition and etching
US7901545B2 (en) * 2004-03-26 2011-03-08 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
JP2005082873A (ja) 2003-09-10 2005-03-31 Applied Materials Inc 膜形成方法
KR20050059782A (ko) 2003-12-15 2005-06-21 매그나칩 반도체 유한회사 스퍼터링 장치 및 이를 이용한 장벽 금속층 형성 방법
US7176128B2 (en) * 2004-01-12 2007-02-13 Infineon Technologies Ag Method for fabrication of a contact structure
US7951262B2 (en) * 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
JP4704088B2 (ja) * 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置
US7700484B2 (en) 2005-09-30 2010-04-20 Tokyo Electron Limited Method and apparatus for a metallic dry-filling process
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US7682966B1 (en) * 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
JP5294669B2 (ja) * 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US7821107B2 (en) * 2008-04-22 2010-10-26 Micron Technology, Inc. Die stacking with an annular via having a recessed socket
WO2010004890A1 (ja) * 2008-07-11 2010-01-14 キヤノンアネルバ株式会社 薄膜の成膜方法
KR20100021856A (ko) * 2008-08-18 2010-02-26 삼성전자주식회사 관통 전극을 갖는 반도체장치의 형성방법 및 관련된 장치
US8344503B2 (en) * 2008-11-25 2013-01-01 Freescale Semiconductor, Inc. 3-D circuits with integrated passive devices
US20110220494A1 (en) * 2010-03-11 2011-09-15 Peijun Ding Methods and apparatus for magnetron metallization for semiconductor fabrication

Also Published As

Publication number Publication date
KR20130093612A (ko) 2013-08-22
JP2013535577A (ja) 2013-09-12
US20120028461A1 (en) 2012-02-02
TW201207996A (en) 2012-02-16
WO2012015656A2 (en) 2012-02-02
CN103026462A (zh) 2013-04-03
JP5889894B2 (ja) 2016-03-22
US8846451B2 (en) 2014-09-30
CN103026462B (zh) 2016-05-11
WO2012015656A3 (en) 2012-04-12
KR101760846B1 (ko) 2017-07-24
KR20160003299A (ko) 2016-01-08

Similar Documents

Publication Publication Date Title
TWI517299B (zh) 在高深寬比特徵結構中沉積金屬的方法
US8993434B2 (en) Methods for forming layers on a substrate
US8563428B2 (en) Methods for depositing metal in high aspect ratio features
US9499901B2 (en) High density TiN RF/DC PVD deposition with stress tuning
TWI539553B (zh) 形成互連結構之方法
WO2020018491A1 (en) Method of forming nickel silicide materials
US8835308B2 (en) Methods for depositing materials in high aspect ratio features
JP2007291439A (ja) 成膜方法、プラズマ成膜装置及び記憶媒体
TW202130836A (zh) 用於由具有控制冷卻的物理氣相沉積(pvd)來沉積鋁的方法和設備
TWI828904B (zh) 用於填充設置在基板中的特徵的方法與設備
US11670485B2 (en) Methods and apparatus for depositing aluminum by physical vapor deposition (PVD)
US20140216922A1 (en) Rf delivery system with dual matching networks with capacitive tuning and power switching
JP2012209483A (ja) 成膜方法およびリスパッタ方法、ならびに成膜装置