TWI482222B - 氧化表面層之混合原位乾式清理 - Google Patents

氧化表面層之混合原位乾式清理 Download PDF

Info

Publication number
TWI482222B
TWI482222B TW100106417A TW100106417A TWI482222B TW I482222 B TWI482222 B TW I482222B TW 100106417 A TW100106417 A TW 100106417A TW 100106417 A TW100106417 A TW 100106417A TW I482222 B TWI482222 B TW I482222B
Authority
TW
Taiwan
Prior art keywords
metal
substrate
surface layer
oxidized surface
barrier layer
Prior art date
Application number
TW100106417A
Other languages
English (en)
Other versions
TW201203367A (en
Inventor
Adam Selsley
Frank M Cerio Jr
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201203367A publication Critical patent/TW201203367A/zh
Application granted granted Critical
Publication of TWI482222B publication Critical patent/TWI482222B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)

Description

氧化表面層之混合原位乾式清理
本發明係關於氧化表面層之乾式清理方法,尤有關於在積體電路之金屬化中所使用之氧化阻障層之原位乾式清理方法。
將銅(Cu)金屬導入製造積體電路用之多層金屬化方案中必須使用阻障層,以促進Cu層之附著及成長,並避免Cu擴散進入介電材料(例如k值小於SiO2(k~3.9)之低介電常數(low-k)介電材料)內。沉積於介電材料上之阻障層可包含折射性材料,例如鎢(W)、鉬(Mo)、及鉭(Ta)、及其化合物。這些材料在Cu中為非反應性且不互溶,又可提供低電阻率。
對於技術節點(technology node)小於或等於130 nm之Cu積體化方案可利用含鉭阻障層,例如Ta、TaN、或其組合。含鉭阻障層中存在雜質可導致含鉭阻障層與鄰接材料(包含Cu金屬層)之間附著性不佳,雜質可包含來自於含鉭阻障層中之部分反應鉭前驅物、或在沉積阻障層期間、或阻障層在處理室之間的轉換期間、或阻障層在製造程序流中曝露於空氣期間之含鉭阻障層氧化的反應副產物。含鉭阻障層與鄰接材料之間的不佳附著性可造成積體電路中之電遷移(EM)及應力遷移(SM)問題,且降低裝置產率。
用以自基板及阻障層移除雜質之習知電漿蝕刻(清理)製程,包含由於撞擊在基板及阻障層上之離子之高動能而可引起基板及阻障層之電漿損害之製程。在許多情況中,這些電漿蝕刻製程可引發擴散阻障層之至少部分移除。由於積體電路中之微電子裝置之最小特徵部尺寸正逐漸逼近深次微米制,以滿足更快速、更低功率微處理器及數位電路之需求,故微型化必須使用厚度通常僅為若干奈米(nm)之超薄阻障層。因此,即使利用一般電漿蝕刻製程以局部移除一厚度之超薄擴散阻障層亦無法接受。
因此,清理積體電路中之氧化表面層,包含清理先進金屬化方案中之超薄阻障層之氧化表面層,需要新的乾式清理製程。
茲將基板之氧化表面層之混合原位乾式清理方法揭露於數個實施例中。如此處所使用者,混合原位乾式清理方法係指利用電漿製程活化氧化表面層、且隨後利用非電漿製程還原經活化之氧化表面層之製程。根據一實施例,將含金屬阻障層之氧化表面層化學還原,其中含金屬阻障層之厚度實質上並未受到混合原位乾式清理方法所改變。
根據一實施例,該方法包含:設置含有含金屬阻障層之基板,該含金屬阻障層具有氧化表面層;將該氧化表面層曝露至含有電漿激發氬(Ar)氣之第一處理氣體流,以活化該氧化表面層;及在該曝露氧化表面層至第一處理氣體流期間,施加基板偏壓功率。該方法更包含曝露該經活化之氧化表面層至含有非電漿激發氫氣之第二處理氣體,其中除了活化該氧化表面層之外,曝露至該第一處理氣體之步驟藉由含有氫氣之該第二處理氣體,而促進該經活化之氧化表面層之化學還原。含金屬阻障層之厚度並未因該曝露及施加步驟而發生實質上改變。
根據另一實施例,提供基板之處理方法。該方法包含:將基板設置於真空處理工具中;將含金屬阻障層沉積於該真空處理工具中之該基板上;及施行該含金屬阻障層之氧化表面層之混合原位乾式清理。該混合原位乾式清理包含:曝露氧化表面層至含有電漿激發氬氣之第一處理氣體流,以活化該氧化表面層;及在曝露該氧化表面層至第一處理氣體流期間,施加基板偏壓功率;及曝露該經活化之氧化表面層至含有非電漿激發氫氣之第二處理氣體,其中除了活化該氧化表面層以外,該曝露至第一處理氣體之步驟係藉由含有氫氣之第二處理氣體而促進該經活化之氧化表面層之化學還原。該含金屬阻障層之厚度並未因該曝露及施加步驟而有實質上改變。該方法仍更包含在該施行步驟之後,沉積含金屬膜於該含金屬阻障層上,其中該混合原位乾式清理之步驟及該沉積含金屬膜於該含金屬阻障層上之步驟,係在未將該含金屬阻障層曝露至空氣下進行。
根據又另一實施例,提供基板之處理方法。該方法包含:將基板設置於第一真空處理工具中;將含金屬阻障層沉積於該第一真空處理工具中之該基板上;在空氣中,將該含有含金屬阻障層之基板由該第一真空處理工具運送至第二真空處理工具;及在該第二真空處理工具中,施行該含金屬阻障層之氧化表面之混合原位乾式清理。該混合原位乾式清理包含:曝露該氧化表面層至含有電漿激發氬氣之第一處理氣體流,以活化該氧化表面層;及在曝露該氧化表面層至第一處理氣體流期間,施加基板偏壓功率;及曝露該經活化之氧化表面層至含有非電漿激發氫氣之第二處理氣體,其中除了活化該氧化表面層以外,該曝露至第一處理氣體之步驟係藉由含有氫氣之第二處理氣體而促進該經活化之氧化表面層之化學還原。該含金屬阻障層之厚度並未因該曝露及施加步驟而有實質上改變。該方法仍更包含在該施行步驟之後,沉積含金屬膜於該第二真空處理工具中之該含金屬阻障層上,其中該混合原位乾式清理之步驟及該沉積含金屬膜於該含金屬阻障層上之步驟,係在未將該含金屬阻障層曝露至空氣下進行。
茲將氧化表面層之之混合原位乾式清理方法揭露於若干實施例中。然而,熟悉相關技藝者將認定:各種不同之實施例可在無一個以上特殊細節、有其他替代及/或額外方法、材料、或成分下實施。在其他例子中,並未詳細顯示或描述已知之結構、材料、或操作,以避免混淆本發明之各種實施例之態樣。同理,為解釋之目的,將陳述特定之數字、材料及結構,以提供對本發明之徹底瞭解。再者,應明瞭:圖式中所示之各種實施例為舉例性表現,並不必然依照比例繪製。
於通篇說明書中,若提及「一實施例」或「實施例」,係意謂關於該實施例所描述之特定特徵、結構、材料、或特性被包含於本發明之至少一實施例中,而非表示其存在於每一實施例中。因此,在通篇說明書各處中,出現「在一實施例中」或「在實施例中」之用語,並不必然指稱本發明之相同實施例。在此詳細說明中,所有圖式之相似部分係由相似參考數字加以表示。
根據本發明之某些實施例,氧化表面層可包含一般在半導體裝置中用作擴散屏障之阻障層的表面。由於所沉積之阻障層材料對含氧氣體之高反應性,阻障層材料之表面可在製造程序期間被氧化。含氧氣體可包含在處理室(例如阻障層沉積室)、在低氣壓下耦接處理室之轉運室、及/或在空氣(若阻障層在製造程序流中曝露於空氣)之處理環境中之背景氣體,例如O2 及H2 O氣體。在某些例子中,空氣曝露可能在基板自含有阻障層沉積室之第一真空處理工具轉運至含有用以在阻障層上沉積晶種層或襯墊層之處理室之第二真空處理工具期間發生。
薄膜結構中存在氧化表面層,可使得不同材料之間的附著性變差,例如氧化阻障層與Cu金屬之間、或者氧化阻障層與在Cu金屬沉積之前已沉積於阻障層上之任何下方含金屬晶種層或襯墊層之間。氧化阻障層與相鄰材料之間的不佳附著性可導致半導體裝置中之電遷移(EM)及應力遷移(SM)問題以及裝置產率降低。
在一例中,半導體裝置可包含:圖案化基板,具有凹陷特徵部;阻障層,形成於凹陷特徵部之底部及側壁上;金屬或含金屬膜(例如釕(Ru)金屬、Ru化合物、鈷(Co)金屬、或Co化合物),形成於凹陷特徵部中之阻障層上;及塊材Cu金屬,填塞凹陷特徵部。相較於在阻障層之未氧化(潔淨)表面上,阻障層上若存在氧化表面層,可能影響Ru金屬在其中低Ru晶種(核)密度形成於氧化表面層上之阻障層上的起始階段之化學氣相沉積(CVD)。氧化表面層上之低Ru晶種密度,可能造成沉積出具有高膜粗糙度之Ru金屬膜,且由於高水平之電子散射及氧化阻障層與Ru金屬膜之間的不佳附著性,可能更進一步造成填塞凹陷特徵部之塊材Cu金屬之增加電阻率。
因此,通常需要在製造程序流期間用以自阻障層移除或清理氧化之新方法。用以自基板及阻障層/襯墊層移除雜質及氧化之常用清理程序,包含可引發電漿損傷及導致阻障層/襯墊層之至少部分移除之電漿清理程序。由於阻障層/襯墊層通常為超薄型,厚度為例如1奈米(nm)與10 nm之間,或者2 nm與5 nm之間,故清理方法不應減少阻障層/襯墊層之厚度,反而應在將薄膜沉積於潔淨阻障層表面上之前,對阻障層之氧化表面進行化學還原。
圖1A-1E顯示根據本發明實施例之混合原位乾式清理程序之示意圖。茲將例示性平面膜結構描繪且說明於圖1A-1E,但亦可將本發明之實施例應用於積體電路設計中所發現之簡易及先進類型之凹陷特徵部。圖12A及12B顯示根據本發明附加實施例之凹陷特徵部之橫截面示意圖。在此領域具有通常技術者將可明瞭:可將本發明之實施例輕易地應用至圖12A及12B中所示之凹陷特徵部及其他簡易或先進凹陷特徵部。
圖1A顯示含有含金屬阻障層102之基板100之橫截面示意圖,該含金屬阻障層被沉積或生長於基板100上。基板100可例如包含矽(Si)、鍺(Ge)、矽鍺(Si Ge)、低介電常數(low-k)材料、或高介電常數(high-k)材料。在本發明之某些實施例中,含金屬阻障層102可包含含鉭(Ta)材料、含鈦(Ti)材料、或含鎢(W)材料、或其組合。含Ta材料之例子包含:Ta、TaN、TaCN、TaC及其組合;含Ti材料之例子包含:Ti、TiN、TiCN、TiC及其組合;含W材料之例子包含:W、WN、WCN、WC及其組合。亦可考慮及使用其他含金屬阻障層材料。
根據某些實施例,含金屬阻障層102可包含適合Cu金屬化之含Ta材料。例如,可將Cu金屬(未圖示)沉積於含金屬阻障層102上,或者可將含金屬襯墊層(未圖示)沉積於含金屬阻障層102上,且之後,將塊材Cu金屬(未圖示)沉積於含金屬襯墊層上。含金屬襯墊層之例子包含Ru金屬、Ru氧化物、Ru氮化物、Ru氮氧化物、Co金屬、Co氧化物、Co氮化物、Co氮氧化物、及其組合。
今仍參照圖1A,含金屬阻障層102之後沉積氧化(post-deposition oxidation)可形成氧化表面層102a,氧化表面層102a之厚度可為約1 nm以下,例如約0.4 nm與約1 nm之間。在一例中,含金屬阻障層102可包含TaN,且氧化表面層102a可包含具有Ta-O化學鍵之TaON。
氧化表面層102a可因為在將其他膜層或薄膜沉積於含金屬阻障層102之前,先將含金屬阻障層102曝露於含氧氣體而形成。含氧氣體可存在於阻障層沉積室之處理環境中、及/或在次大氣壓條件(例如約100 mTorr之Ar清除氣體)下將阻障層沉積室耦接至其他處理室之一個以上轉運室中;再者,若處理流程包含在真空處理工具之間、在空氣中轉運含有含金屬阻障層102之基板100,則可將含有含金屬阻障層102之基板100曝露於空氣中之O2 氣體及H2 O氣體。
根據本發明之實施例,氧化表面層102a係在混合原位乾式清理製程中進行化學還原,以至少在將額外薄膜或膜層沉積於含金屬阻障層102之前,實質上移除氧化層並產生含金屬阻障層102之潔淨表面。混合原位乾式清理提供具有增強化學鍵結之潔淨含金屬阻障層102,此增強化學鍵結為提升擴散阻障層/襯墊層之性質及整合成Cu金屬化結構所必須。在一例中,吾人考慮藉由自金屬氮化物阻障層(例如TaN)移除氮,混合原位乾式清理製程可更形成對其他材料具有強力鍵結之「富金屬」(”metal-rich”)(例如富鈦)表面。
現參照圖1B,基板100被設置於電漿處理室中之基板支座上。使含有氬氣之第一處理氣體流入電漿處理室中,選擇電漿處理室中之第一氣壓,電漿係由電漿處理室中之第一處理氣體而產生,且將非零基板偏壓功率施加至基板100。將氧化表面層102a曝露於電漿中之電漿激發氬氣103,以活化後續化學還原製程之氧化表面層102a。根據本發明之實施例,可藉由基板偏壓功率而控制電漿激發氬氣103中撞擊在氧化表面層102a上之氬離子之動能。基板偏壓功率低於一閾值偏壓位準,該位準係造成來自氧化表面層102a之金屬物種(例如Ta)之濺鍍,因此,至少實質上並無含金屬阻障層102之金屬物種被電漿激發氬氣103移除。本案發明人相信:氧化表面層102a之活化包含在氧化表面層102a中之金屬-氧鍵結因氬離子與氧化表面層102a之交互作用而瓦解或削弱。被瓦解或削弱之金屬-氧鍵結之存在,使得圖1C中所示之活化氧化表面層102b,藉由含有非電漿激發氫(H2 )氣之第二處理氣體,而能夠進行後續之化學還原。
現參照圖1C,在為了化學還原而活化氧化表面層102a之後,使含有H2 氣之第二處理氣體107流入電漿處理室(或替代處理室)內,並選擇在電漿處理室中之第二氣壓。根據一實施例,第二氣壓可大於第一氣壓。將活化氧化表面層102b曝露於含有非電漿激發氫(H2 )氣之第二處理氣體107持續一段期望時間,以化學還原活化氧化表面層102b。根據本發明之實施例,除了將氧化表面層102a活化以外,曝露於第一處理氣體係藉由含有非電漿激發氫氣之第二處理氣體107,而促進活化氧化表面層102b之化學還原。
圖1D顯示在活化氧化表面層102b經過化學還原之後的化學還原層102c。如上所述,化學還原層102c可具有「富金屬」(例如富鈦)表面109,此表面109具有對於沉積或形成於化學還原層102c上之其他材料之改良鍵結。
圖1E顯示形成於化學還原層102c上之Cu金屬或含金屬襯墊層104。在一例中,含金屬襯墊層104可包含平滑Ru金屬襯墊層,其具有對於化學還原層102c之強力鍵結。
圖2顯示根據本發明實施例之混合原位乾式清理程序之程序流程圖。圖2之程序流程200可利用圖3之真空處理工具加以實施,或者利用任何用以原位處理基板而不致使基板曝露於空氣中之其他適當的真空處理工具。在202中,將基板設置於真空處理工具300內。根據一實施例,基板包含具有氧化表面層之含金屬阻障層,其中該含金屬阻障層係在將基板導入圖3之真空處理工具之前被沉積於替代真空處理工具中。根據另一實施例,可將含金屬阻障層沉積於真空處理工具300中之基板上,且在不曝露於空氣之情況下,於該含金屬阻障層上施行混合原位清理程序。真空處理工具300包含基板(晶圓)轉運系統301,該基板轉運系統301包含晶舟模組301A、301B及基板對正模組301C。分別利用閘閥G1及G2而將承載室(load-lock chamber)302A及302B耦接至基板轉運系統301,將基板轉運系統301維持於大氣壓力下,但藉由以惰性氣體進行清除而提供潔淨環境。
利用閘閥G3及G4而將承載室(load-lock chamber)302A及302B耦接至基板轉運系統303,可利用渦輪分子泵浦(未圖示)將基板轉運系統303維持於次大氣壓力下,且可非必須地利用惰性氣體,以連續地清除基板轉運系統303。基板轉運系統303包含基板轉運機器人,並耦接至除氣系統304A、用以在更進一步處理之前清理基板或薄膜之電漿清理系統304B、及輔助處理系統304C。處理系統304A、304B、及304C係分別利用閘閥G5、G6、及G7而耦接至基板轉運系統303。
電漿清理系統304B可為用以施行根據本發明實施例之混合乾式清理程序之電漿處理系統。例示性電漿處理系統顯示於圖4-10。
在204中,在電漿清理系統304B中,將含有含金屬阻障層(其具有氧化表面層)之基板,在第一氣壓下曝露於含有電漿激發氬氣之第一處理氣體流,以活化氧化表面層;且在206中,於將氧化表面層曝露於第一處理氣體流期間,施加基板偏壓功率至支撐基板之基板支座。施加至基板支座之基板偏壓功率,低於造成來自氧化表面層之金屬物種發生濺鍍的閾值偏壓位準。根據本發明之實施例,基板偏壓功率大於0瓦特(W),例如大於0 W且小於200 W;根據某些實施例,基板偏壓功率可在約50 W與約150 W之間,例如就含Ta阻障層(如TaN)而言可為約100 W。然而,對於不同類型之含金屬阻障層,可使用不同之基板偏壓功率位準。例示性第一氣壓可小於1托耳,介於約0.5毫托耳與約500毫托耳之間、介於約20毫托耳與約200毫托耳之間、或者介於約50毫托耳與約200毫托耳之間;根據某些實施例,第一氣壓可為約0.5毫托耳以下。曝露於第一處理氣體之例示性曝露時間可大於或約為10秒,例如介於約10秒與約60秒之間、介於約10秒與約30秒之間、或者介於約10秒與約20秒之間。然而,本發明不限於此等基板偏壓功率位準、第一氣壓、或曝露時間,而是可使用其他處理條件。
在208中,於第二氣壓下,將活化氧化表面層曝露於含有非電漿激發氫氣之第二處理氣體,以化學還原活化氧化表面層。根據一實施例,第二氣壓可大於第一氣壓。根據本發明之一實施例,曝露於第二處理氣體之步驟,可在不產生電漿之情況下施行於電漿清理室304B中。根據另一實施例,曝露於第二處理氣體之步驟可施行於替代處理系統中,例如在輔助處理系統304C中、在用以將Cu金屬沉積於含金屬阻障層上之處理系統中、或者在用以將含金屬襯墊層沉積於含金屬阻障層中。
根據本發明之實施例,第二處理氣體可包含純H2 或H2 與惰性氣體之組合。惰性氣體可選擇自N2 及高貴氣體(亦即He、Ne、Ar、Kr、及Xe)。H2 與惰性氣體之組合可例如包含90%以下之H2 ,例如80%、60%、20%、10%、5%或以下,其餘則為惰性氣體。例示處理條件更包含大於1托耳之第二氣壓,例如1托耳以上與約1000托耳之間、1托耳以上與約100托耳之間、或1托耳以上與約5托耳之間,例如介於1.5托耳與3托耳之間。使用大於第一氣壓之第二氣壓能夠利用短暫處理時間而增強活化氧化表面層之化學還原,對第二處理氣體之例示曝露時間可為約10秒以上,例如介於約10秒與約10分鐘之間、介於約10秒與約5分鐘之間、或介於約10秒與約60秒之間。然而,本發明之實施例並不限於此等第二處理氣體成分、第二氣壓、或曝露時間,亦可使用其他處理條件。
基板轉運系統303係透過基板處理室304D及閘閥G8而耦接至基板轉運系統305。如同在基板轉運系統303中,可利用渦輪分子泵浦(未圖示)將基板轉運系統305維持於次大氣壓力下,且可非必須地利用惰性氣體,以連續地清除基板轉運系統305;基板轉運系統305包含基板轉運機器人。處理系統306A耦接至基板轉運系統305,且可用以將阻障層沉積於基板上。根據本發明之一實施例,處理系統306A可為離子化物理氣相沉積(IPVD)系統,一例示IPVD系統說明於美國專利第6,287,435號。根據另一實施例,處理系統306A可為用以利用來源氣體及還原氣體之電漿增強原子層沉積(PEALD)系統,該來源氣體及還原氣體係以交替曝露之間的清除/排空步驟而交替地曝露於基板。可用於沉積含Ta層(如TaN、TaCN、及TaC)之來源氣體可包含金屬有機化合物,例如三級戊亞胺三(二甲胺基)鉭(tertiary amylimidotris(dimethylamido)tantalum)(Ta(NC(CH3 )2 C2 H5 )(N(CH3 )2 )3 ,TAIMATA)、五(二乙胺基)鉭(pentakis(diethylamido)tantalum)(Ta(N(C2 H5 )2 )5 ,PDEAT)、五(乙甲胺基)鉭(pentakis(ethylmethylamido)tantalum)(Ta(N(C2 H5 )CH3 )5 ,PEMAT)、五(二甲胺基)鉭(pentakis(dimethylamido)tantalum)(Ta(N(CH3 )2 )5 ,PDMAT)、三級丁亞胺三(二乙胺基)鉭(t-butyliminotris(diethylamido)tantalum)(Ta(NC(CH3 )3 )3 (N(C2 H5 )2 )3 ,TBTDET)、Ta(NC2 H5 )(N(C2 H5 )2 )3 、Ta(NC(CH3 )3 )(N(CH3 )2 )3 、三級丁基-三乙甲胺基鉭(tert-butyl-tris-ethylmethylamido tantalum)(Ta(NC(CH3 )3 )((NC2 H5 (CH3 )3 )3 ,TBTEMAT)、Ta(NC(CH3 )2 )3 、或Ta(NC2 H5 )2 )3 ;可用於沉積Ta層之來源氣體可包含TaF5 、TaBr5 、TaI5 。例示PEALD系統說明於美國專利第7,314,835號。
處理系統306D可為用以沉積Cu晶種層之IPVD系統、或者用以沉積Cu晶種層之化學氣相沉積(CVD)系統;處理系統306C可為用以將含金屬襯墊層(例如Ru、Co、或其化合物)沉積於阻障層上之CVD系統。例示CVD系統說明於美國專利第7,270,848及7,279,421號,Ru CVD系統可使用含有Ru3 (CO)12 及CO之處理氣體。
分別利用閘閥G9、G10、G11、及G12,將處理系統306A、306B、306C、及306D耦接至真空基板轉運系統305。
真空處理工具300包含控制器310,其可在整合基板處理期間被耦接至並控制圖3所示之處理系統及處理元件中之任一者或全部。或者、或此外,控制器310可被耦接至一個以上之附加控制器/電腦(未圖示),且控制器310可由附加控制器/電腦獲取安排及/或配置資訊。可利用控制器310以建構處理系統及處理元件中之任一者或全部,且控制器310可收集、提供、處理、儲存、及顯示來自處理系統及處理元件中之任一者或全部之資料。控制器310可包含用以控制處理系統及處理元件中之任一者或全部之若干應用程式,例如圖形使用者介面(GUI)元件(未圖示),其可提供能夠讓使用者監測及/或控制一個以上之處理系統及處理元件之易使用介面。
控制器310可包含微處理器、記憶體、及數位I/O埠,其能夠產生足以聯繫、啟動輸入、並與真空處理工具300交換資訊、以及監測來自真空處理工具300之輸出的控制電壓。舉例而言,可利用儲存於記憶體中之程式,根據程序處方而啟動對真空處理工具300之輸入,以便施行整合基板處理。控制器310之一例為德州奧斯汀之Dell Corporation市售之Dell Precision Workstation 610TM
然而,可將控制器310安裝作為通用電腦系統,其回應執行記憶體中所包含之一個以上指令之一個以上序列的處理器,而施行本發明之一部分或全部之微處理器處理步驟。可將此類指令自例如硬碟或可卸除式媒體機之另一電腦可讀媒體讀入控制器記憶體內;亦可利用多重處理配置中之一個以上處理器作為控制器微處理器,以執行主記憶體中所包含之指令序列。在可供選擇之實施例中,可使用硬佈線(hard-wired)電路代替或結合軟體指令,如此,實施例並不限於硬體電路或軟體之任何特殊組合。
控制器310包含至少一電腦可讀媒體或記憶體(例如控制器記憶體),其係用於保存根據本發明之教示加以程式化之指令,且用於包含資料結構、表格、記錄、或實施本發明所需要之其他資料。電腦可讀媒體之例子有光碟、硬碟、軟碟、磁帶、磁光碟、PROMs(EPROM、EEPROM、快閃EPROM)、DRAM、SRAM、SDRAM、或任何其他磁性媒體、光碟(如CD-ROM)、或任何其他光學媒體、打孔卡片、紙帶、或其他具孔洞圖案之實體媒體、載波(說明於下)、或電腦可讀取之任何其他媒體。
本發明包含控制控制器310、驅動施行本發明之一個以上裝置、及/或使控制器可與使用者互動所用之軟體,該軟體係儲存於任一或組合之電腦可讀媒體上。此軟體可包含(但不限於)裝置驅動器、操作系統、發展工具、以及應用軟體;此電腦可讀媒體更包含本發明之電腦程式產品,其係用於施行本發明之全部或部分(若處理為分散式)處理。
本發明之電腦程式碼裝置可為任何可譯或可執行程式碼機構,包含但不限於劇本、可編譯程式、動態鏈接程式庫(DLLs)、爪哇類、以及完全可執行程式;再者,為獲得較佳效能、可靠度、及/或成本,本發明之處理有部分可為分散式。
此處所用之術語「電腦可讀媒體」係指參與提供指令給控制器310之處理器執行用之任何媒體。電腦可讀媒體可具有許多形式,包含但不限於非揮發性媒體、揮發性媒體、以及傳輸媒體。非揮發性媒體包含例如光碟、磁碟、磁光碟,如硬碟或卸除式媒體驅動機;揮發性媒體包含動態記憶體如主記憶體。再者,各種不同形式之電腦可讀媒體可能與攜帶一或更多指令之一或更多序列給控制器之處理器執行有關,例如初始時指令可記載於一遠程電腦之磁碟上,該遠程電腦可在遠處將施行全部或部分本發明所用之指令載入一動態記憶體中,並透過網路發送指令至控制器310。
可將控制器310設置在相對於真空處理工具300之附近,或其可被設置在相對於真空處理工具300之遠端。例如,控制器310可利用直接連線、內部網路、網際網路、及無線連接至少其中一者而與真空處理工具300交換資料。可將控制器310在例如客戶端(亦即裝置製造者等)耦接至內部網路,或者其可在供應商端(亦即設備製造商)被耦接至內部網路,此外,可將控制器310耦接至例如網際網路。再者,另一電腦(亦即控制器、伺服器等)可存取控制器310,以透過直接連線、內部網路、及網際網路而交換資料。熟悉此項技藝者亦將明瞭,控制器310可透過無線連接而與真空處理工具300交換資料。熟悉此項技藝者將輕易地認知到,本發明之實施例可不需要使用圖3中所示之真空處理工具300之全部處理系統。例如,根據一實施例,可於將基板導入真空處理工具300之前,將阻障層沉積於基板上,且如此,對於阻障層沉積可不需要處理系統306A。因此,本發明之某些實施例可包含使用少於圖3中所示之全部處理系統。
圖4-10為可用以施行根據本發明實施例之混合原位乾式清理程序之至少一部分的電漿處理系統示意圖。現參照圖4,根據一實施例,電漿處理系統1a係用以施行混合原位乾式清理程序之上述程序條件,且包含:電漿處理室10;基板支座20,待處理之基板25固定於其上;及真空抽氣系統50。基板25可為半導體基板、晶圓、平面顯示器、或液晶顯示器。電漿處理室10可用以促進電漿產生於基板25之表面附近之處理區域45中。透過氣體分配系統40而通入可離子化氣體或處理氣體之混合物,對於既定之處理氣體流,利用真空抽氣系統50以調整處理壓力。可利用電漿以製造一預定材料程序特有之材料,及/或協助由基板25之曝露表面移除材料。電漿處理系統1a可用以處理任何期望尺寸之基板,例如200 mm之基板、300 mm以上之基板。
可透過例如機械夾鉗系統或電夾鉗系統(如靜電夾鉗系統)之夾鉗系統28,而將基板25固定於基板支座20上;再者,基板支座20可包含用以調整及/或控制基板支座20及基板之溫度的加熱系統(未圖示)或冷卻系統(未圖示)。加熱系統或冷卻系統可包含熱傳流體之再循環流,該熱傳流體自基板支座20接收熱並在冷卻時將熱傳送至熱交換系統(未圖示),或者在加熱時將熱自熱交換系統傳送至基板支座20。在其他實施例中,可使加熱/冷卻元件(例如電阻式加熱元件或熱電加熱器/冷卻器)包含於基板支座20、以及電漿處理室10之室壁及電漿處理系統1a內之任何其他元件中。
此外,可透過背側氣體運送系統26而將熱傳氣體運送至基板25之背側,以便提升基板25與基板支座20之間的氣體間隙熱傳導。當吾人需要將基板之溫度控制於升高或降低溫度時,可利用此一系統。例如,背側氣體運送系統可包含雙區氣體分配系統,其中氦氣體間隙壓力可在基板25的中央與邊緣之間獨立地變化。
在圖4所示之實施例中,基板支座20可包含電極,RF功率透過該電極而耦接至處理區域45中之處理電漿。例如,透過將RF功率經由選用阻抗匹配網路32而自RF產生器30傳輸至基板支座20,可使基板支座20電偏壓於RF電壓,RF偏壓可具有加熱電子以形成並維持電漿之功用。在此架構中,系統可運作作為反應性離子蝕刻(RFE)反應器,其中腔室及上氣體注入電極係用作接地表面。RF偏壓之典型頻率範圍可自約0.1 MHz至約100 MHz,電漿處理之RF系統為熟悉此項技藝者所熟知。
或者,在多重頻率之下,將RF功率施加至基板支座電極;再者,阻抗匹配網路32可藉由減少反射功率而提升電漿處理室10中之RF功率轉換成電漿。匹配網路技術(例如L型、π型、T型等)及自動控制方法為熟悉此項技藝者所熟知。
氣體分配系統40可包含用以通入處理氣體混合物之噴淋頭設計;或者,氣體分配系統40可包含用以通入處理氣體混合物及調整基板25上方之處理氣體混合物分配之多區噴淋頭設計。例如,多區噴淋頭設計可用以相對於到基板25上方之實質上中央區域之處理氣體流或組成量,而調整到基板25上方之實質上周圍區域之處理氣體流或組成。
真空抽氣系統50可包含渦輪分子真空泵浦(TMP),其能夠具備高達每秒約5000公升(以上)之抽氣速度及用以抑制室壓之閘閥。在用於乾式電漿蝕刻之習知電漿處理裝置中,可使用每秒約1000-3000公升之TMP,TMP可用於一般而言小於50毫托耳之低壓處理。對於高壓處理(亦即大於約100毫托耳),可使用機械助力泵浦及乾式粗略泵浦。再者,可將用以監測室壓之裝置(未圖示)耦接至電漿處理室10。壓力量測裝置可為例如來自MKS儀器公司(安多佛(Andover),麻州)之市售628B型Baratron絕對電容壓力計。
控制器55包含微處理器、記憶體、及數位I/O埠,其能夠產生足以聯繫、啟動輸入、並與真空處理工具300交換資訊、以及監測來自電漿處理系統1a之輸出的控制電壓。再者,控制器55可被耦接至RF產生器30、阻抗匹配網路32、氣體分配系統40、真空抽氣系統50、及基板加熱/冷卻系統(未圖示)、背側氣體運送系統26、及/或靜電夾鉗系統28並與其交換資訊。舉例而言,可利用儲存於記憶體中之程式,根據程序處方而啟動對電漿處理系統1a之輸入,以便在基板25上施行電漿輔助程序。
可將控制器55設置在相對於電漿處理系統1a之附近,或其可被設置在相對於電漿處理系統1a之遠端。例如,控制器55可利用直接連線、內部網路、及/或網際網路而與電漿處理系統1a交換資料。可將控制器55在例如客戶端(亦即裝置製造者等)耦接至內部網路,或者其可在例如供應商端(亦即設備製造商)被耦接至內部網路,或者或此外,可將控制器55耦接至網際網路。再者,另一電腦(亦即控制器、伺服器等)可存取控制器55,以透過直接連線、內部網路、及/或網際網路而交換資料。
在圖5所示之實施例中,電漿處理系統1b可類似於圖4之實施例,且除了參照圖4所述之該等元件外,更包含靜止式、機械式、或電力式旋轉磁場系統60,以便潛在地增加電漿密度及/或提升電漿處理均勻性;再者,可將控制器55耦接至磁場系統60,以便調節轉速及磁場強度。旋轉磁場系統之設計及實施為熟悉此項技藝者所熟知。
在圖6所示之實施例中,電漿處理系統1c可類似於圖4或圖5之實施例,且可更包含上電極70,RF功率經由選用阻抗匹配網路74而自RF產生器72耦接至該上電極70。施加RF功率至上電極之頻率範圍可自約0.1 MHz至約200 MHz,此外,施加RF功率至下電極之頻率範圍可自約0.1 MHz至約100 MHz。再者,使控制器55耦接至RF產生器72及阻抗匹配網路74,以便對於施加RF功率至上電極70進行控制。上電極之設計及實施為熟悉此項技藝者所熟知。可將上電極70及氣體分配系統40設計於相同腔室組件內,如圖所示。
在圖7所示之實施例中,電漿處理系統1c’可類似於圖6之實施例,且可更包含直流(DC)電源90,其耦接至與基板25相對之上電極70。上電極70可包含電極板,電極板可包含含矽電極板,此外,電極板可包含掺雜矽電極板。DC電源90可包含可變DC電源,此外,DC電源90可包含雙極DC電源;DC電源90可更包含用以施行監測調整步驟、或控制極性、電流、電壓、或DC電源90之開/關狀態之系統。一旦電漿形成,DC電源90則促進彈道電子束之形成。可使用電濾波器以將RF功率自DC電源90去耦合。
例如,藉由DC電源90而施加至上電極70之DC電壓範圍可由約-2000伏特(V)至約1000 V;較佳情況為,DC電壓之絕對值大於或等於約100 V,且更佳情況為,DC電壓之絕對值大於或等於約500 V。此外,DC電壓較佳地為具有負極性;又,DC電壓較佳為其絕對值大於產生在上電極70之表面上之自偏壓功率的負電壓。面對基板支座20之上電極70之表面可由含矽材料所組成。
在圖8所示之實施例中,電漿處理系統1d可類似於圖3及圖4之實施例,且可更包含感應線圈80,RF功率經由選用阻抗匹配網路84而自RF產生器82耦接至該感應線圈80。RF功率自感應線圈80經由介電窗(未圖示)而感應耦接至電漿處理區域45。施加至感應線圈80之RF功率頻率範圍可自約10 MHz至約100 MHz;同理,施加至夾頭電極之功率頻率範圍可自約0.1 MHz至約100 MHz。此外,可使用開槽法拉第屏蔽(未圖示),以減少感應線圈80與處理區域45中之電漿之間的電容式耦合;再者,可使控制器55耦接至RF產生器82及阻抗匹配網路84,以控制對於感應線圈80之功率施加。
在另一實施例中,如圖9所示,電漿處理系統1e可類似於圖8之實施例,且可包含由以上元件與電漿處理區域45通聯之「螺旋」線圈或「扁圓餅」線圈等感應線圈80’,如同在變壓器耦合電漿(TCP)反應器中。感應耦合電漿(ICP)源或變壓器耦合電漿(TCP)源之設計及實施為熟悉此項技藝者所熟知。
或者,電漿可利用電子迴旋共振(ECR)加以形成;在又另一實施例中,電漿係由發射螺旋子波(Helicon wave)而形成;在又另一實施例中,電漿係由傳遞式表面波而形成。上述各電漿源皆為熟悉此項技藝者所熟知。
在圖10所示之實施例中,電漿處理系統1f可類似於圖4及圖5之實施例,且可更包含表面波電漿(SWP)源80”。SWP源80”可包含槽孔天線,如徑向線槽孔天線(RLSA),微波功率係經由選用阻抗匹配網路84’而自微波產生器82’耦接至槽孔天線。
圖11A及11B表示根據本發明實施例之氧化表面層之乾式清理的程序資料。乾式清理利用ICP源,其中基板偏壓功率可相對於為產生電漿而施加之功率而獨立地加以控制。測試結構包含具有厚度為4-10 nm之TaN阻障層沉積於其上之矽基板,TaN阻障層利用Ta濺鍍標靶及N2氣而藉由IPVD進行沉積,之後使TaN阻障層曝露於空氣達至少一天的時間,以氧化TaN阻障層之表面層。接著,在不同乾式清理程序之前及之後,量測薄膜之薄層電阻率(sheet resistivity)。圖11A顯示對於不同程序處方之薄層電阻率之變化(歐姆/平方單位),而圖11B顯示對於相同樣品之計算薄膜電阻率(微歐姆-cm)。程序處方包含以利用ICP源之Ar電漿曝露而處理氧化TaN阻障層,氣壓為0.5毫托耳且基板偏壓功率為低或零(軟Ar清理,soft Ar cleaning),後續在含H2 氣體之存在下進行退火,或者以非重疊性步驟進行軟Ar清理及後續退火兩者。程序處方包含:1)在100 W偏壓功率下進行15秒之軟Ar清理;2)在3托耳之氣壓下進行15秒之H2 退火,接著在100 W之偏壓功率下進行15秒之軟Ar清理;3)在0 W之基板偏壓功率下進行15秒之軟Ar清理;4)在3托耳之氣壓下進行15秒之H2 退火,接著在0 W之基板偏壓功率下進行15秒之軟Ar清理;5)在3托耳之氣壓下進行15秒之H2 退火,接著在100 W之基板偏壓功率下進行15秒之軟Ar清理;6)在0 W之基板偏壓功率下進行15秒之軟Ar清理,接著在3托耳之氣壓下進行15秒之H2 退火。又,在軟Ar清理(基板被夾固至溫度約為25℃之基板支座(夾頭))期間,基板溫度經估算為小於100℃;且在H2 退火(基板在溫度約為250-260℃之基板支座上被鬆開)期間,基板溫度經估算為介於150-200℃之間。
程序資料顯示:無後續H2 退火之軟Ar清理(程序處方1及3),薄層電阻率及薄層膜電阻率增加;此外,有後續軟Ar清理之H2 退火(程序處方2及4),薄層電阻率及薄層膜電阻率亦增加;又此外,程序處方6,即有後續H2 退火之利用0 W偏壓之軟Ar清理,薄層電阻率及薄層膜電阻率增加。然而,程序處方5,即有後續H2 退火之利用100 W非零偏壓之軟Ar清理,薄層電阻率及薄層膜電阻率降低。TaN阻障層之厚度量測顯示上述處理造成甚小或無厚度變化,厚度差異在量測之誤差範圍內-厚度方面之變化為0.5以下。
薄層電阻率及薄層膜電阻率之降低,說明當使用程序處方5時氧化表面層之化學還原。吾人認為:軟Ar清理之第一步驟藉由打斷或削弱某些Ta-O化學鍵而活化了TaN阻障層之氧化表面層,且將活化氧化表面層曝露於H2 氣之第二步驟則促進活化表面層之化學還原。程序資料更顯示:少至15秒之Ar電漿曝露及3托耳氣壓下且持續15秒之H2 曝露在清理TaN阻障層上有其功效。吾人認為低基板偏壓功率導致TaN阻障層及下方基板之極低表面損害。
程序資料更顯示:處理步驟之次序具有重要性;亦即,在軟Ar清理之後進行H2 退火有助於氧化表面層之化學還原。相較之下,在H2 退火之後進行軟Ar清理並不會造成氧化表面層之化學還原。
圖12A顯示雙鑲嵌互連結構之橫截面示意圖,雙鑲嵌互連結構為熟悉積體電路製造技藝者所熟知。圖12A所示之雙鑲嵌互連結構包含:雙鑲嵌互連凹陷特徵部524,形成於導電互連結構522上方;及阻障層530,形成於凹陷特徵部524之表面上方。雙鑲嵌互連凹陷特徵部524包含:通孔528,其具有分別為528a及528b之側壁及底面;及渠溝526,形成於介電膜518中,其中渠溝526包含分別為526a及526b之側壁及底面。渠溝526可用於上導電互連結構,而通孔528使渠溝526連接至導電互連結構522。互連結構更包含介電層512及514、環繞導電互連結構522之阻障膜520、及蝕刻停止層516。
圖12B顯示根據本發明另一實施例之圖案化結構之橫截面示意圖。圖案化結構包含形成於介電膜502中之凹陷特徵部505a及形成於凹陷特徵部505a底部處之閘電極506上之導電層503a,閘電極506為更包含閘介電膜507之閘結構之一部分。閘介電膜507可包含SiO2 、SiOx Ny 、SiNy 、或具有大於SiO2 之介電常數(k~3.9)之高介電常數(high-k)材料、或其組合,high-k材料可包含金屬氧化物、金屬氮氧化物、及其矽酸鹽,例如Ta2 O5 、TiO2 、ZrO2 、Al2 O3 、Y2 O3 、HfOx Ny 、HfSiOx 、HfO2 、ZrO2 、ZrSiOx 、ZrOx Ny 、ZrSiOx Ny 、TaSiOx 、SrOx 、SrSiOx 、LaOx 、LaSiOx 、YOx 、YSiOx 、或BaO、或其二者以上之組合。
此外,圖12B中之圖案化結構包含形成於介電膜502中之凹陷特徵部505b及基板500中形成於凹陷特徵部505b底部處之掺雜基板區域501上之導電層503b。基板500可例如為200 mm矽晶圓、300 mm矽晶圓、或者甚至更大之矽晶圓;介電膜502可包含SiO2 、SiON、SiN、或具有小於SiO2 之介電常數(k~3.9)之低介電常數(low-k)材料。常見之low-k材料可包含Si、O、N、C、H、及/或鹵素之簡單或複雜化合物,作為緻密或多孔材料皆可。根據本發明之實施例,凹陷特徵部505a、505b可為具有深寬比(深度/寬度)大於或等於約2(例如3,4,5,6,7,12,15或以上)之通孔;通孔可具有約200 nm以下之寬度,例如150 nm、100 nm、65 nm、45 nm、32 nm、20 nm或以下。在一例中,凹陷特徵部505a、505b可為深寬比約為7之45 nm寬通孔。然而,本發明之實施例並不限於此等深寬比或通孔寬度,亦可使用其他深寬比或通孔寬度。導電層503a及503b可包含提供薄且穩定之電接觸的矽化物接觸層,且可例如包含CoSi2 、PtSi、Pd2 Si、TiSi2 、WSi2 、NiSi2 、或TaSi2 、或其二者以上之組合,一組合可包含容許使用比NiSi2 更高之處理溫度之PtNiSi。圖12B中之圖案化結構更包含形成於凹陷特徵部505a及505b之表面上方之阻障層508。用以形成圖12A及12B中所示之圖案化結構之處理方法為熟悉此項技藝者所熟知。
茲已將氧化表面層之混合原位乾式清理程序之複數實施例揭露於各種實施例中。氧化表面層可包含積體電路中所發現之含金屬阻障層之表面;然而,可將本發明之實施例應用於半導體製造中在進行更進一步處理之前需要原位清理之其他類型膜層,例如金屬層、介電層、及半導體層。為舉例及說明之目的,已呈現本發明實施例之前述說明,但本發明並不限於所揭露之精確形式,此說明及以下之申請專利範圍包含僅用於說明目的且不應被視為限制性之項目。例如,如此處(包含在申請專利範圍中)所使用之文字「上」並非要求在圖案化基板「上」之薄膜為直接在基板上且與基板緊鄰接觸;可有第二薄膜或其他結構在薄膜與圖案化基板之間。
熟悉相關技藝者可明瞭:關於上述教示可有許多修改及變化,熟悉此項技藝者將可辨別出圖式中所示之各種元件之各種等效組合及替代物。因此,本發明之範圍並非受到此詳細說明所限制,而是由附加於此之申請專利範圍所界定。
1a...電漿處理系統
1b...電漿處理系統
1c,1c’...電漿處理系統
1d...電漿處理系統
1e...電漿處理系統
1f...電漿處理系統
10...電漿處理室
20...基板支座
25...基板
26...背側氣體運送系統
28...靜電夾鉗系統
30...RF產生器
32...阻抗匹配網路
40...氣體分配系統
45...處理區域
50...真空抽氣系統
55...控制器
60...磁場系統
70...上電極
72...RF產生器
74...阻抗匹配網路
80...感應線圈
80’...感應線圈
80”...SWP源
82...RF產生器
82’...微波產生器
84...阻抗匹配網路
84’...阻抗匹配網路
90...DC電源
100...基板
102...含金屬阻障層
102a...氧化表面層
102b...活化氧化表面層
102c...化學還原層
103...氬氣
104...含金屬襯墊層
107...第二處理氣體
109...富金屬表面
200...程序流程
202...設置含有含金屬阻障層之基板,該含金屬阻障層具有氧化表面層
204...曝露氧化表面層至含有電漿激發氬氣之第一處理氣體流,以活化氧化表面層
206...在曝露氧化表面層至第一處理氣體流期間,施加基板偏壓功率
208...曝露經活化之氧化表面層至含有非電漿激發氫氣之第二處理氣體,以將經活化之氧化表面層化學還原
300...真空處理工具
301...基板轉運系統
301A...晶舟模組
301B...晶舟模組
301C...基板對正模組
302A...承載室
302B...承載室
303...基板轉運系統
304A...除氣系統
304B...電漿清理系統
304C...輔助處理系統
304D...基板處理室
305...基板轉運系統
306A...處理系統
306B...處理系統
306C...處理系統
306D...處理系統
310...控制器
500...基板
501...掺雜基板區域5
502...介電膜
503a...導電層
503b...導電層
505a...凹陷特徵部
505b...凹陷特徵部
506...閘電極
507...閘介電膜
508...阻障層
512...介電層
514...介電層
516...蝕刻停止層
518...介電膜
520...阻障膜
522...導電互連結構
524‧‧‧雙鑲嵌互連凹陷特徵部
526‧‧‧渠溝
526a‧‧‧渠溝之側壁
526b‧‧‧渠溝之底面
528‧‧‧通孔
528a‧‧‧通孔之側壁
528b‧‧‧通孔之底面
530‧‧‧阻障層
G1-G12‧‧‧閘閥
在附圖中:
圖1A-1E顯示根據本發明實施例之混合原位乾式清理程序之示意圖;
圖2顯示根據本發明實施例之混合原位乾式清理程序之程序流程圖;
圖3為根據本發明實施例之真空處理工具之示意圖;
圖4-10為根據本發明實施例之電漿處理系統之示意圖;
圖11A及11B表示根據本發明實施例之氧化表面層之乾式清理的程序資料;
圖12A及12B顯示根據本發明實施例之例示凹陷特徵部之橫截面示意圖。
200...程序流程
202...設置含有含金屬阻障層之基板,該含金屬阻障層具有氧化表面層
204...曝露氧化表面層至含有電漿激發氬氣之第一處理氣體流,以活化氧化表面層
206...在曝露氧化表面層至第一處理氣體流期間,施加基板偏壓功率
208...曝露經活化之氧化表面層至含有非電漿激發氫氣之第二處理氣體,以將經活化之氧化表面層化學還原

Claims (20)

  1. 一種混合原位乾式清理方法,包含:設置包含含金屬阻障層之基板,其中該含金屬阻障層包含氧化表面層;曝露該氧化表面層至第一處理氣體流,以活化該氧化表面層,該第一處理氣體包含電漿激發氬氣;在曝露該氧化表面層至第一處理氣體流期間,施加基板偏壓功率;及曝露該經活化之氧化表面層至含有非電漿激發氫氣之第二處理氣體,且該第二處理氣體並非包含該電漿激發氬氣之該第一處理氣體,其中除了活化該氧化表面層之外,曝露至該第一處理氣體之步驟藉由含有氫氣之該第二處理氣體,而促進該經活化之氧化表面層之化學還原,其中該含金屬阻障層之厚度並未因該曝露及施加步驟而發生實質上改變。
  2. 如申請專利範圍第1項之混合原位乾式清理方法,其中該施加基板偏壓功率之步驟包含施加大於0W之基板偏壓功率。
  3. 如申請專範圍第1項之混合原位乾式清理方法,其中該施加基板偏壓功率之步驟包含施加介於約50W與約150W之間的基板偏壓功率。
  4. 如申請專利範圍第1項之混合原位乾式清理方法,其中該基板偏壓功率低於一閾值偏壓位準,該位準係藉由該電漿激發氬氣而造成來自該含金屬阻障層之金屬物種發生濺鍍。
  5. 如申請專利範圍第1項之混合原位乾式清理方法,更包含:在第一氣壓下,曝露該氧化表面層至該第一處理氣體流;及在大於該第一氣壓之第二氣壓下,曝露該經活化之氧化表面層至該第二處理氣體流。
  6. 如申請專利範圍第5項之混合原位乾式清理方法,其中該第二氣壓大於1托耳。
  7. 如申請專利範圍第1項之混合原位乾式清理方法,其中該含金屬阻障層包含含Ta阻障層、含Ti阻障層、含W阻障層、或其二者以上之組合。
  8. 如申請專利範圍第1項之混合原位乾式清理方法,其中該電漿激發氬氣係產生於感應耦合電漿處理系統中。
  9. 一種基板處理方法,包含:將基板設置於真空處理工具中;將含金屬阻障層沉積於該真空處理工具中之該基板上;藉由下列步驟,而施行該含金屬阻障層上之氧化表面層之混合原位乾式清理:曝露該氧化表面層至含有電漿激發氬氣之第一處理氣體流,以活化該氧化表面層;在曝露該氧化表面層至該第一處理氣體流期間,施加基板偏壓功率;與曝露該經活化之氧化表面層至含有非電漿激發氫氣之第二處理氣體,且該第二處理氣體並非包含該電漿激發氬氣之該第一處理氣體,其中除了活化該氧化表面層以外,該曝露至第一處理氣體之步驟係藉由含有氫氣之該第二處理氣體而促進該經活化之氧化表面層之化學還原,其中該含金屬阻障層之厚度並未因該曝露及施加步驟而有實質上改變;及在該施行步驟之後,沉積含金屬膜於該含金屬阻障層上,其中該混合原位乾式清理之步驟及該沉積含金屬膜於該含金屬阻障層上之步驟,係在未將該含金屬阻障層曝露至空氣下進行。
  10. 如申請專利範圍第9項之基板處理方法,其中該施加基板偏壓功率之步驟包含施加大於0W之基板偏壓功率。
  11. 如申請專利範圍第9項之基板處理方法,其中該施加基板偏壓功率之步驟包含施加介於約50W與約150W之間的基板偏壓功率。
  12. 如申請專利範圍第9項之基板處理方法,其中該基板偏壓功率低於一閾值偏壓位準,該位準係藉由該電漿激發氬氣而造成來自該含金屬阻障層之金屬物種發生濺鍍。
  13. 如申請專利範圍第9項之基板處理方法,更包含:在第一氣壓下,曝露該氧化表面層至該第一處理氣體流;及在大於該第一氣壓之第二氣壓下,曝露該經活化之氧化表面層至該第二處理氣體流。
  14. 如申請專利範圍第13項之基板處理方法,其中該第二氣壓大於1托耳。
  15. 一種基板處理方法,包含:將基板設置於第一真空處理工具中;將含金屬阻障層沉積於該第一真空處理工具中之該基板上;在空氣中,將含有該含金屬阻障層之該基板,由該第一真空處理工具轉運至第二真空處理工具;藉由下列步驟,在該第二真空處理工具中,施行該含金屬阻障層上之氧化表面層之混合原位乾式清理:曝露該氧化表面層至含有電漿激發氬氣之第一處理氣體流,以活化該氧化表面層;在曝露該氧化表面層至該第一處理氣體流期間,施加基板偏壓功率;與 曝露該經活化之氧化表面層至含有非電漿激發氫氣之第二處理氣體,且該第二處理氣體並非包含該電漿激發氬氣之該第一處理氣體,其中除了活化該氧化表面層以外,該曝露至第一處理氣體之步驟係藉由含有氫氣之該第二處理氣體而促進該經活化之氧化表面層之化學還原,其中該含金屬阻障層之厚度並未因該曝露及施加步驟而有實質上改變;及在該施行步驟之後,於該第二真空處理工具中,沉積含金屬膜於該含金屬阻障層上,其中該混合原位乾式清理之步驟及該沉積含金屬膜於該含金屬阻障層上之步驟,係在未將該含金屬阻障層曝露至空氣下進行。
  16. 如申請專利範圍第15項之基板處理方法,其中該施加基板偏壓功率之步驟包含施加大於0W之基板偏壓功率。
  17. 如申請專利範圍第15項之基板處理方法,其中該施加基板偏壓功率之步驟包含施加介於約50W與約150W之間的基板偏壓功率。
  18. 如申請專利範圍第15項之基板處理方法,其中該基板偏壓功率低於一閾值偏壓位準,該位準係藉由該電漿激發氬氣而造成來自該含金屬阻障層之金屬物種發生濺鍍。
  19. 如申請專利範圍第15項之基板處理方法,其中該第二氣壓大於1托耳。
  20. 如申請專利範圍第15項之基板處理方法,更包含:在第一氣壓下,曝露該氧化表面層至該第一處理氣體流;及在大於該第一氣壓之第二氣壓下,曝露該經活化之氧化表面層至該第二處理氣體流。
TW100106417A 2010-02-26 2011-02-25 氧化表面層之混合原位乾式清理 TWI482222B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/714,152 US8227344B2 (en) 2010-02-26 2010-02-26 Hybrid in-situ dry cleaning of oxidized surface layers

Publications (2)

Publication Number Publication Date
TW201203367A TW201203367A (en) 2012-01-16
TWI482222B true TWI482222B (zh) 2015-04-21

Family

ID=44505432

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100106417A TWI482222B (zh) 2010-02-26 2011-02-25 氧化表面層之混合原位乾式清理

Country Status (4)

Country Link
US (1) US8227344B2 (zh)
JP (1) JP5590731B2 (zh)
KR (1) KR101650588B1 (zh)
TW (1) TWI482222B (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
KR101300791B1 (ko) * 2011-12-15 2013-08-29 한국생산기술연구원 전자빔 조사를 이용한 몰리브덴 박막의 전도도 향상 방법
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US20150064921A1 (en) * 2013-08-30 2015-03-05 Applied Materials, Inc. Low temperature plasma anneal process for sublimative etch processes
EP3075006A1 (de) 2013-11-27 2016-10-05 AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Leiterplattenstruktur
AT515101B1 (de) 2013-12-12 2015-06-15 Austria Tech & System Tech Verfahren zum Einbetten einer Komponente in eine Leiterplatte
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US11523520B2 (en) 2014-02-27 2022-12-06 At&S Austria Technologie & Systemtechnik Aktiengesellschaft Method for making contact with a component embedded in a printed circuit board
AT515447B1 (de) 2014-02-27 2019-10-15 At & S Austria Tech & Systemtechnik Ag Verfahren zum Kontaktieren eines in eine Leiterplatte eingebetteten Bauelements sowie Leiterplatte
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
KR102389819B1 (ko) * 2015-06-17 2022-04-22 삼성전자주식회사 반도체 소자의 제조 방법
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9984858B2 (en) * 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
TWI726951B (zh) * 2015-12-17 2021-05-11 美商應用材料股份有限公司 處理氮化物膜之方法
US20170194454A1 (en) * 2016-01-06 2017-07-06 International Business Machines Corporation NiPt AND Ti INTERSECTING SILICIDE PROCESS AND STRUCTURE
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10236206B2 (en) 2017-07-03 2019-03-19 Globalfoundries Inc. Interconnects with hybrid metallization
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
JP7023188B2 (ja) * 2018-06-11 2022-02-21 東京エレクトロン株式会社 クリーニング方法
US11380536B2 (en) * 2020-05-05 2022-07-05 Applied Materials, Inc. Multi-step pre-clean for selective metal gap fill
US11723293B2 (en) 2021-03-26 2023-08-08 International Business Machines Corporation Reactivation of a deposited metal liner
US11784033B2 (en) 2021-05-28 2023-10-10 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030236003A1 (en) * 2002-06-21 2003-12-25 Kyeongmo Koo Method of forming barrier layer of semiconductor device
TW200832556A (en) * 2006-08-30 2008-08-01 Lam Res Corp Processes and integrated systems for engineering a substrate surface for metal deposition

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2692845B2 (ja) * 1988-03-31 1997-12-17 株式会社東芝 半導体装置の製造方法
JP3189056B2 (ja) * 1991-09-05 2001-07-16 富士通株式会社 半導体基板の前処理方法とその機能を具備する装置
JP3270196B2 (ja) * 1993-06-11 2002-04-02 川崎マイクロエレクトロニクス株式会社 薄膜形成方法
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JP4228424B2 (ja) * 1998-09-04 2009-02-25 ソニー株式会社 半導体装置の製造方法
KR100341849B1 (ko) * 1999-11-05 2002-06-26 박종섭 반도체 소자의 금속 배선 형성 방법
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2003086569A (ja) 2001-09-12 2003-03-20 Tokyo Electron Ltd プラズマ処理方法
TWI223867B (en) * 2001-10-26 2004-11-11 Applied Materials Inc Method for forming a metal interconnect on a substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030236003A1 (en) * 2002-06-21 2003-12-25 Kyeongmo Koo Method of forming barrier layer of semiconductor device
TW200832556A (en) * 2006-08-30 2008-08-01 Lam Res Corp Processes and integrated systems for engineering a substrate surface for metal deposition

Also Published As

Publication number Publication date
TW201203367A (en) 2012-01-16
JP5590731B2 (ja) 2014-09-17
JP2011181926A (ja) 2011-09-15
KR20110098683A (ko) 2011-09-01
US20110212274A1 (en) 2011-09-01
KR101650588B1 (ko) 2016-08-23
US8227344B2 (en) 2012-07-24

Similar Documents

Publication Publication Date Title
TWI482222B (zh) 氧化表面層之混合原位乾式清理
US10699946B2 (en) Method of enabling seamless cobalt gap-fill
TWI545653B (zh) 利用平滑的未凝聚之銅晶種層對於凹陷特徵部施行之無孔隙銅填充
US7786006B2 (en) Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming
US7959985B2 (en) Method of integrating PEALD Ta-containing films into Cu metallization
US7407876B2 (en) Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US7846841B2 (en) Method for forming cobalt nitride cap layers
US7592257B2 (en) Semiconductor contact structure containing an oxidation-resistant diffusion barrier and method of forming
TWI429021B (zh) 積體電路之電接觸件及利用氣體團簇離子束處理以形成該電接觸件之方法
US7718527B2 (en) Method for forming cobalt tungsten cap layers
US20070054046A1 (en) Method of forming a tantalum-containing layer from a metalorganic precursor
US20080081464A1 (en) Method of integrated substrated processing using a hot filament hydrogen radical souce
US20080078325A1 (en) Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US8026168B2 (en) Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming
US7829158B2 (en) Method for depositing a barrier layer on a low dielectric constant material
US20070054047A1 (en) Method of forming a tantalum-containing layer from a metalorganic precursor
CN114981934A (zh) 用于减除式自对准的方法和装置
WO2020163200A1 (en) Methods and apparatus for filling a feature disposed in a substrate
CN117751433A (zh) 蚀刻方法、半导体装置的制造方法、蚀刻程序以及等离子体处理装置