TWI431691B - A semiconductor device, a film forming method, a film forming apparatus, and a memory medium - Google Patents

A semiconductor device, a film forming method, a film forming apparatus, and a memory medium Download PDF

Info

Publication number
TWI431691B
TWI431691B TW096145700A TW96145700A TWI431691B TW I431691 B TWI431691 B TW I431691B TW 096145700 A TW096145700 A TW 096145700A TW 96145700 A TW96145700 A TW 96145700A TW I431691 B TWI431691 B TW I431691B
Authority
TW
Taiwan
Prior art keywords
film
gas
amorphous carbon
film forming
processing container
Prior art date
Application number
TW096145700A
Other languages
English (en)
Other versions
TW200832552A (en
Inventor
Yoshiyuki Kikuchi
Yasuo Kobayashi
Kohei Kawamura
Toshihisa Nozawa
Hiraku Ishikawa
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200832552A publication Critical patent/TW200832552A/zh
Application granted granted Critical
Publication of TWI431691B publication Critical patent/TWI431691B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

半導體裝置、成膜方法、成膜裝置及記憶媒體
本發明係有關例如應用於半導體裝置之非晶質碳膜及成膜該膜之技術領域。
在半導體裝置之製造製程中,例如施行在以CF膜(添加氟碳膜)和SiCOH膜(包含矽、氧、碳、氫的膜)之所謂low-k的靜電率較低的材料所形成的層間絕緣膜形成凹部,且在該凹部形成以Cu(銅)所製成的配線的嵌刻製程,在該嵌刻製程中,在Cu配線與層間絕緣膜之間,形成抑制Cu擴散到層間絕緣膜的阻障膜。可使用例如以矽為主體作為該阻障膜的例如Si之原子比為50%以上的SiCN(碳氮化矽)等。然而為了達到半導體裝置之動作的高速化,雖然進一步提高配線之導電性的同時,並檢討層間絕緣膜之低介電常數化,但除此之外,連前述阻障膜也有提高低介電常數化的必要性。
於是檢討藉由以碳和氫為主體取使SiCN的非晶質碳膜構成的絕緣膜作為阻障膜使用。非晶質碳膜也具有提高對Cu等之金屬的阻障性,且與構成已述之層間絕緣膜的各材料及Cu等之金屬的密著性高之優點。
然而在半導體裝置的製造製程中,因有對膜施加應力的情形,故雖希望膜的彈性係數(modulus)大,但非晶質碳具有彈性係數小的缺點。又,半導體裝置在形成有構成該膜的各種膜及配線之後,被曝露在大氣環境中,然後例如在N2 氣體環境中,例如以400℃左右的溫度完成退火處理。可是由於非晶質碳係熱收縮率大,因此其膜厚會因該退火而減少例如6%左右。由此種情形作為阻障膜使用的非晶質碳膜,在製造製程時等,有從層間絕緣膜和配線金屬剝離,或使配線斷線之虞。由此種情形來看,非晶質碳膜難以應用於半導體裝置,實際情形並無法產生該優點。
例如以非晶質碳膜如已述作為阻障膜使用的情形下,像這樣膜厚減少率,所謂膜減很大的話,形成該非晶質碳膜從配線及層間絕緣膜剝離的結果,有Cu配線導電性降低之虞。
本發明之目的在於提供一面將相對介電常數抑制的很低、一面提高彈性係數,且熱收縮率小的非晶質碳膜及具備該膜之半導體裝置、以及成膜非晶質碳膜的技術。
本發明係一種非晶質碳膜,其特徵為:包含:氫、碳,添加矽而成膜,且相對介電常數為3.3以下。
本發明係一種非晶質碳膜,其特徵為:將具有多鍵的碳化氫氣體與包含矽的氣體加以電漿化,藉此成膜。
本發明係一種半導體裝置,其特徵為:具備:各具有配線金屬與層間絕緣膜的多層配線電路部、和介設在該配線電路部間的非晶質碳膜;非晶質碳膜,係包含:氫、碳,添加矽而成膜,且相對介電常數為3.3以下。
本發明係一種半導體裝置,其特徵為:非晶質碳膜,係作為防止一個配線電路部的配線金屬的構成元素擴散到相鄰的配線電路部之層間絕緣膜的阻障膜使用。
本發明係一種半導體裝置,其特徵為:非晶質碳膜,係層積在層間絕緣膜之上,作為形成用以在該層間絕緣膜埋置配線金屬之凹部時的遮罩使用。
本發明是一種成膜方法,其特徵為包含:將基板載置於設置在處理容器內的載置台的製程、和藉由在前述處理容器內,將包含具有多鍵的碳化氫氣體與含矽之氣體的氣體電漿化所得到的電漿,在前述基板形成由含矽的非晶質碳所製成的絕緣膜的製程。
本發明是一種成膜方法,其特徵為:前述碳化氫氣體為丁炔(butyne)氣體。
本發明是一種成膜方法,其特徵為:前述絕緣膜形成中,係將處理容器內的壓力維持在5.33Pa~9.33Pa。
本發明是一種成膜裝置,其特徵為具備:處理容器、和設置在處理容器之內部,用以載置基板的載置台、和用以將該處理容器內進行真空排氣的手段、和對前述處理容器內供給電漿產生用的氣體的第1氣體供給部、和對前述處理容器內供給具有多鍵的碳化氫氣體與含矽的氣體的第2氣體供給部、和在前述處理容器內,將前述碳化氫氣體與含矽的氣體電漿化,藉由該電漿用以在前述基板形成由含矽的非晶質碳所製成的絕緣膜的電漿產生手段。
本發明是一種記錄媒體,針對在電腦儲存供實行成膜方法之電腦程式的記憶媒體,其特徵為:成膜方法係包含:將基板載置於設置在處理容器內的載置台的製程、和藉由在前述處理容器內,將包含具有多鍵的碳化氫氣體與含矽之氣體的氣體電漿化所得到的電漿,在前述基板形成由含矽的非晶質碳所製成的絕緣膜的製程。
藉由本發明,一面在成膜時控制矽(Si)的添加量、一面成膜非晶質碳膜,就能一面將相對介電常數抑制在3.3以下的較低值、一面提高彈性係數,且能得到熱收縮率小的非晶質碳膜。因此以該非晶質碳膜作為構成半導體裝置之膜使用的情形下,能抑制膜剝離等的缺點,其結果,可產生低介電常數,且對Cu等之金屬具有阻障性的優點。
〔用以實施發明的最佳形態〕
於第1圖表示有關適用本發明之非晶質碳膜的半導體裝置之實施形態。本實施形態的半導體裝置,係在多層配線構造中,適用本發明的非晶質碳膜作為介設在n層之配線電路部的層間絕緣膜與(n+1)層之配線電路部的層間絕緣膜之阻障膜,在第1圖也表示有關形成配線電路部的製程。
第1圖(a)是表示n層的配線電路部1A的一部分,11是層間絕緣膜,例如藉由SiCOH膜所構成。12是銅(Cu)配線,13是凹部內的阻障金屬(barrier metal)。再者阻障金屬13可採用例如鉭(Ta)與鈦(Ti)的層積膜等。說明有關形成配線電路部1A的製程,配線電路部1A是層積:層間絕緣膜11、阻障金屬13、以及Cu配線12所構成。其次如第1圖(b)所示,以覆蓋層間絕緣膜11及配線12的方式,形成有本發明的非晶質碳膜10,接著如第1圖(c)所示,在非晶質碳膜10上層積有例如以SiCOH所形成的層間絕緣膜14。
在層間絕緣膜14形成有以供形成配線的溝槽(trench)15a與導孔(via)用的孔15b所形成的凹部15,在該凹部15露出配線12(第1圖(d))。然後,在凹部15表面例如成膜Ta與Ti之層積膜的導電性之阻障金屬16,接著將Cu金屬17埋置於凹部15之後(第1圖(e)),藉由CMP(Chemical Mechanical Polishing)除去多餘的Cu金屬17與層間絕緣膜14表面的阻障金屬16,形成與配線12電性連接的配線18,並形成有上方之層的配線電路部1A(第1圖(f))。
其次,使以2-丁炔(C4 H6 )與二矽烷(Si2 H6 )作為具有多鍵的碳化氫氣體電漿化,用以成膜已述的非晶質碳膜10,一面參照第2圖~第4圖、一面簡單的說明有關電漿成膜裝置2。該電漿成膜裝置2是使用徑向線縫隙天線產生電漿的CVD(Chemical Vapor Deposition)裝置。圖中20是例如整體構成筒體狀的處理容器(真空反應室),該處理容器20的側壁和底部是藉由導體例如添加鋁的不銹鋼等所構成,在內壁面形成有以氧化鋁所製成的保護膜。
在處理容器20的大致中央,隔著絕緣材料12a設有用以載置基板例如矽晶圓W之載置部的載置台21。該載置台21例如藉由氮化鋁(AIN)或氧化鋁(Al2 O3 )所構成,在內部設有使冷卻媒體流通的冷卻套21b之同時,與該冷卻套21b一併設有形成調溫部的加熱器(未圖示)。載置台21的載置面亦可構成作為靜電夾盤,且作為電漿產生用的下部電極。
打開前述處理容器20的頂部,在該部分隔著O形環等的密封構件(未圖示),以與載置台21相對的方式,設有例如平面形狀構成略圓形狀的第1氣體供給部3。該氣體供給部3係例如藉由氧化鋁所構成,在與載置台21相對的面,形成有與氣體供給孔31之一端側連通的氣體流路32,在該氣體流路32連接著第1氣體供給管路33的一端側。另一方面,第1氣體供給路33的他端側,連接有電漿產生用的氣體(電漿氣體)的氬(Ar)氣和氪(Kr)氣等之惰性氣體的供給源34,經由第1氣體供給管路33供給到氣體流路32,且經由前述氣體供給孔31,一樣供給到第1氣體供給部3之下方側的空間。
又在前述處理容器20內的載置台21與第1氣體供給部3之間,例如以劃分該等之間的方式,設有例如平面形狀構成略圓形狀的第2氣體供給部4。該第2氣體供給部4係例如藉由含鎂(Mg)的鋁合金和添加鋁的不銹鋼等之導電體所構成,在與載置台21相對的面,係形成有多數個第2氣體供給孔41。在該氣體供給部4的內部,例如第3圖所示,形成有與氣體供給孔41之一端側連通的格子狀之氣體流路42,在該氣體流路42連接著第2氣體供給管路43的一端側。又在第2氣體供給部4,以貫通該氣體供給部4的方式,形成多數個開口部44。該開口部44是為了使電漿或電漿中的原料氣體通過該氣體供給部4之下方側的空間,例如形成在相鄰的氣體流路42彼此之間。
在此,第2氣體供給部4是經由第2氣體供給管路43,與原料氣體的2-丁炔氣體之供給源45以及Si2 H6 氣體的供給源35連接,該2-丁炔氣體以及Si2 H6 (二矽烷)氣體是經由第2氣體供給管路43,依序流通到氣體流路42,經由前述氣體供給孔41一樣供給到第2氣體供給部4之下方側的空間。圖中V1~V4是閥,MFC1~MFC3是用來各別調整Ar氣體、2-丁炔氣體、Si2 H6 氣體流入處理容器20內之供給量的流量調整手段。
在前述第1氣體供給部3的上部側,隔著O形環等的密封構件(未圖示),設有例如藉由氧化鋁等的介電質所構成的蓋板23,在該蓋板23的上部側,以與該蓋板23密接的方式,設置天線部5。該天線部5如第4圖所示,具備:平面形狀為圓形的下面側形成開口的扁平天線本體51、和設成蓋住該天線本體51之前述下面側的開口部,且形成多數個狹縫的圓板狀的平面天線構件(狹縫板)52,該等天線本體51與平面天線構件52是藉由導體所構成,構成扁平的中空圓形導波管。而且,前述平面天線構件52的下面是連接到前述蓋板23。
又,在前述平面天線構件52與天線本體51之間,設置例如藉由氧化鋁和氮化矽(Si3 N4 )等之低損耗介電質材料所構成的遲相板53。該遲相板53,是供縮短微波之波長,並縮短前述圓形導波管內的管內波長。在該實施形態,是藉由該等天線本體51、平面天線構件52、遲相板53,形成徑向線縫隙天線。
像這樣所構成的天線部5,是以前述平面天線構件52,密接於蓋板23的方式隔著密封構件(未圖示)安裝在處理容器20。而且該天線部5是隔著同軸導波管54與外部的微波產生手段55連接,例如供給頻率為2.45GHz或8.3GHz的微波。此時,同軸導波管54之外側的導波管54A,是連接在天線本體51,中心導體54B是經由形成在遲相板53的開口部連接到平面天線構件52。
前述平面天線構件52,是例如由厚度1mm左右的銅板所形成,如第4圖所示,形成有例如供產生圓偏波的多數個狹縫56。該狹縫56是以稍微間隔配置成略T字狀的一對狹縫56a、56b為一組,沿著周方向形成例如同心圓狀或蝸旋狀。像這樣,以互相略直交的關係來排列狹縫56a與狹縫56b,形成放射出包含兩個直交之偏波成份的圓偏波。此時,以對應藉由遲相板53被壓縮的微波之波長的隔來排列狹縫56a、56b,藉此微波則形成略比平面天線構件52更平的波來放射。在本發明,藉由微波產生手段55、同軸導波管54、天線部5,構成電漿產生手段。又在處理容器20的底部連接排氣管24,該排氣管24是隔著成為壓力調整手段的壓力調整部25,連接到真空排氣手段的真空泵26,形成將處理容器20內真空吸引到既定的壓力。
在此,在上述的電漿成膜裝置中,對微波產生手段55和高頻電源部22的電力供給、用來供給電漿氣體和原料氣體之閥V1~V3的開閉、流量調整手段MFC1~MFC3、壓力調整部25等,是藉由控制手段(未圖示),根據組合步驟的程式控制成在既定條件下進行含Si的非晶質碳膜的成膜。又此時,在軟碟或小光碟、快閃記憶體、MO(Magneto-Optical Disk)等的記憶媒體,儲存著組合供執行前述微波產生手段55等之各手段的控制之步驟的電腦程式,將各手段控制成根據該電腦程式以既定的條件進行處理。
接著說明有關利用該電漿成膜裝置2所實施的成膜方法之一例。首先經由柵型閥(未圖示),搬入前述矽晶圓W,載置到載置台21上。在晶圓W的表面,例如第1圖(a)所示,形成第n段的配線電路部1A。接著將處理容器20的內部真空吸引到既定的壓力,經由第1氣體供給管路33,對第1氣體供給部3,以既定的流量例如280sccm供給藉由微波激勵的電漿氣體例如氬氣。另一方面,經由第2氣體供給管路43,對原料氣體供給部的第2氣體供給部4,以即定的流量例如220sccm供給成膜氣體的2-丁炔氣體的同時,以例如4.5sccm供給成膜氣體的Si2 H6 氣體。而且將處理容器20內例如維持在5.33Pa(40mTorr)的製程壓力,且將載置台21的表面溫度例如設定在380℃。
另一方面,從微波產生手段供給2.45GHz、3000W的高頻(微波)。該微波是以TM模式、TE模式或TEM模式在同軸導波管54內傳播,到達天線部5的平面天線構件52,經由同軸導波管的內部導體54B,從平面天線構件52的中心部向著周緣範圍放射狀的傳播。而且微波是從一對狹縫56a、56b經由蓋板23、第1氣體供給部3,向著該氣體供給部3之下方側的處理空間發射。
在此,蓋板23與第1氣體供給部3是藉由可穿透微波的材質例如氧化鋁所構成,作為微波穿透窗的作用,微波就會效率良好的穿透該等。此時如已述排列狹縫對56a、56b,圓偏波均勻的發射到平面天線構件52的整個平面,該下方的處理空間之電場密度均勻化。而且藉由該微波的電能,在廣大的處理空間的整個區域以高密度激勵均勻的電漿。而且該電漿經由第2氣體供給部4的開口部44流入該氣體供給部4之下方側的處理空間,讓從該氣體供給部4供給到該處理空間的2-丁炔氣體以及Si2 H6 氣體活性化,總之,就是電漿化形成活性種。
該等活性種堆積在晶圓W,形成以氫原子、碳原子及矽原子所組成的非晶質碳膜10。以這樣成膜非晶質碳膜的晶圓W,經由柵型閥(未圖示)搬出處理容器20。在以上,晶圓W搬入處理容器20內,以既定的條件進行處理,至搬出處理容器20前的一連串動作,如已述,由控制手段和儲存在記憶媒體的程式來控制各手段,藉此實行。
以上述實施形態所形成的碳與氫為主體的非晶質碳膜10,膜中的碳原子C與氫原子H之比為0.8<H/C<1.2,該值更好為0.9以上、1.1以下。成膜時Si為適量例如膜中的Si原子比為10%以下,更好是添加5%以下的非晶質碳膜10,相對介電常數雖略為上昇,但該值為3.3以下,更符合成膜時的製程條件,藉此可達成3.0以下。在此,作為習知的low-k阻障膜,舉例有以矽為主體的SiCN、SiCH等。SiCN膜的相對介電常數為5.0左右,又SiCH膜係多孔質化,藉此可降低相對介電常數,但多孔質化的話,由於阻障機能受損,因此實際上作為阻障膜機能的SiCH膜,相對介電常數為3.5以上。又如後述的實施例所示,藉由本發明的非晶質碳膜10,具有較高的彈性模數而成膜,即使應力增加亦可抑制膜剝離。又藉由添加Si,可抑制非晶質碳膜10的熱收縮。雖然在半導體裝置的製造製程有退火製程,但因熱收縮率小,故抑制膜減少的產生,且可抑制膜剝離。其結果,非晶質碳膜10具有低介電常數,可產生對Cu等金屬之阻障性的優點。
因此CF膜係相對介電常數亦可為2.2以下,視為有希望作為層間絕緣膜使用,在非晶質碳膜在與CF膜之密著性提高、使用CF膜的半導體裝置實現化上較為有利。
再者,雖然具有多鍵的碳化氫氣體,如上述最好使用2-丁炔氣體,但也可使用1-丁炔氣體進行成膜。又,不限於該等丁炔,也可使用如C2 H4 (乙烯)氣體之具有雙鍵的碳化氫氣體和如C2 H2 (乙炔)氣體、C6 H10 (1-戊炔、2-戊炔)氣體之具有三鍵的碳化氫氣體,進行成膜。再者,藉由具有前述乙炔等之三鍵的氣體進行成膜之情形下,例如在前述成膜裝置2進一步分叉第2氣體供給管路43,該分叉的端部,各別連接到貯存H2 (氫)氣體的氣體供給源、貯存乙炔氣體的氣體供給源。成膜時將H2 氣體、乙炔氣體以及已述的Si2 H6 氣體供給到處理容器20。
上述的非晶質碳膜10雖適量添加Si,但除了添加Si,也可為適量添加例如B(硼)、N(氮)、Li(鋰)、W(鎢)、Ti(鈦)、S(硫磺)、鋁(A1)等之一種或兩種以上之元素的非晶質碳膜,此時,具有機械性強度更大的優點。
非晶質碳膜10,係形成作為防止第n號的配線電路部1A的配線金屬12的構成元素擴散到第(n+1)號的配線電路部1A之層間絕緣膜14的阻障膜之功能。
在上述的實施形態中,雖舉出以非晶質碳膜作為銅配線的阻障膜應用之範例,敍述有關其製法等,但進一步於以下敍述有關本發明之非晶質碳膜的其他適用例。
(其他應用例1)在此例中,使用本發明的非晶質碳膜作為硬遮罩(hard mask)以供形成由CF膜所製成的層間絕緣膜80之銅配線12的埋置用凹部。硬遮罩是一種進行蝕刻時作為遮罩的功能,即使殘留在裝置對特性亦無影響的膜,在此例中,於蝕刻時,光罩消失之後,可作為供維持遮罩之功能使用。該實施形態以在第n(n為1以上的整數)號的配線電路部之上,形成第(n+1)號的配線電路部之情形為範例,一面參照第5圖、一面加以說明。再者,在第5圖中,有關與第1圖之配線電路部同類的膜,附上相同符號,雖以更進一步取代SiCOH膜11、14的半導體裝置之高速化為目標而使用CF膜80,但上下兩層的低介電常數膜當然也可為CF膜與SiCOH膜的組合。首先,在第n號的配線電路部之上,將作為已述之阻障膜的下層非晶質碳膜10、以CF膜所製成的層間絕緣膜80、本發明的非晶質碳膜10、以及SiCOH膜81依此順序層積(第5圖(a))。再者,該SiCOH膜81也可達到硬遮罩(hard mask)的作用。接著在SiCOH膜81之上形成光罩(未圖示),使用該光罩並藉由例如含有鹵化物之活性種的電漿來蝕刻SiCOH膜81,得到具有既定圖案的SiCOH膜81(第5圖(b))。
然後,在SiCOH膜81及非晶質碳膜10的表面,成膜光阻膜82,且形成寬度較前述既定圖案還窄的圖案(第5圖(c)),使用該光罩82,先藉由CF系氣體的電漿蝕刻非晶質碳膜10之後,除去光罩82(第5圖(d))。接著例如藉由氧電漿來蝕刻CF膜80,且所露出的下層非晶質碳膜10是與上層的非晶質碳膜10一同藉由CF系氣體的電漿進行蝕刻(第5圖(e))。此時,因下層的非晶質碳膜10是阻障膜,故其厚較薄,只不過稍微蝕刻上層的非晶質碳膜10。
而且,使用以SiCOH膜81所製成的遮罩,來蝕刻上層的非晶質碳膜10,更在CF膜80蝕刻到中途,形成寬度較藉由先前之蝕刻所形成的凹部還寬的凹部(第5圖(f))。再者,寬度較窄的凹部15b是相當於導孔,寬度較寬的凹部15a是相當於該配線電路部之電路的配線埋置範圍(溝槽)。然後,在凹部15表面例如成膜Ta與Ti之層積膜的導電性阻障金屬16(第5圖(g)),將銅金屬17埋置於凹部15之後(第5圖(h)),藉由CMP除去多餘的Cu金屬17、SiCOH膜81以及非晶質碳膜10的全部或一部分,形成與配線12電性連接的配線18,而形成第(n+1)號的配線電路部。
在此,一面參照第6圖、一面敍述有關用以實施已述的第5圖(a))之層積體的製造方法之半導體製造裝置之一例。在第6圖中,90是載體,91是第1搬運室,92、93是搬運晶圓時調整環境的加載互鎖真空室,94是第2搬運室,95是對準室。第1搬運室91是大氣環境,第2搬運室94是真空環境。96是第1搬運手段,97是第2搬運手段。又,在第2搬運室94氣密的連接有:供成膜非晶質碳膜10之已述的第2圖~第4圖所示的電漿成膜裝置2、和供成膜以CF膜所製成的層間絕緣膜80的成膜裝置98、和供成膜SiCOH膜81的成膜裝置99、和供晶圓在例如N2 氣體環境且以例如400℃左右的溫度進行退火處理的退火裝置100。再者,第6圖中的G是間隔加載互鎖真空室92、93與第1搬運室91或第2搬運室94之間,或者間隔第2搬運室94與成膜裝置2、98、99或退火裝置100之間的閘閥(gate valve)。又第6圖中的GT是門。
又,在該半導體製造裝置9,如第6圖所示,例如設有以電腦構成的控制部101,該控制部101具有以程式、記憶體、CPU所構成的資料處理部,在前述程式係安裝由控制部101對半導體製造裝置9的各部傳送控制訊號,命令(各步驟)執行後述的搬運順序。又,例如在記憶體寫入各裝置2、98、99、100的處理壓力、處理溫度、處理時間、氣體流量或電力值等之處理參數的值,在CPU實行程式之各命令時,讀出該等之處理參數,配合該參數值的控制訊號會傳送到該半導體製造裝置9的各部位。該程式(也包含有關處理參數的輸入操作或顯示的程式)是儲存在電腦記憶媒體例如軟碟、小光碟、硬碟、MO(光磁碟片)等的記憶部102,並安裝到控制部101。
其次,說明有關該形態的半導體製造裝置9的搬運路徑,首先,載體90內的晶圓是依第1搬運手段96→加載互鎖真空室92(或93)→第2搬運手段97→成膜裝置2被搬運,在該成膜裝置2施行本發明之非晶質碳膜10的成膜作為阻障膜使用。然後,該晶圓經由第2搬運手段97被搬運到退火裝置100,在該退火裝置100將晶圓例如以300℃左右的溫度進行退火處理。藉由該退火除去非晶質碳膜10於成膜中已被處理的未耦合側。然後,該晶圓經由第2搬運手段97被搬運到成膜裝置98,在該成膜裝置98進行以CF膜所製成的層間絕緣膜80的成膜。然後,該晶圓經由第2搬運手段97被搬運到成膜裝置2,在CF膜80之上施行作為硬遮罩用的本發明之非晶質碳膜10的成膜。然後,該晶圓經由第2搬運手段97被搬運到退火裝置100,在該退火裝置100進行與上述同樣的退火處理。然後,該晶圓經由第2搬運手段97被搬運到成膜裝置99,在非晶質碳膜10之上施行SiCOH膜81的成膜作為硬遮罩。然後,該晶圓以第2搬運手段97→加載互鎖真空室92(或93)→第1搬運手段96→載體90內的路徑被搬回。
在此,有關成膜CF膜80的成膜裝置98、成膜SiCOH膜81的成膜裝置99,可利用已述的第2圖~第4圖所示的CVD裝置。總之,在成膜裝置98,在已述的第2圖~第4圖所示的CVD裝置中,在第1氣體供給管路33連接有電漿氣體例如Ar氣體的供給源,在第2氣體供給管路43連接有C5 F8 氣體的供給源。又在成膜裝置99,在已述的第2圖~第4圖所示的CVD裝置中,在第1氣體供給管路33連接有電漿氣體例如Ar氣體與氧氣體的供給源,在第2氣體供給管路43連接有三甲基矽烷氣體的供給源。又退火裝置100只要是在處理容器之中設有加熱載置台與晶圓的加熱器和供給N2 氣體的手段即可,例如將晶圓加熱到200℃~400℃,對本發明的非晶質碳膜10進行退火。
(其他適用例2)又本發明的非晶質碳膜可作為供曝光處理時防止照射到基板表面之光散射的防反射膜使用。一面參照第7圖及第8圖、一面說明有關該形態,首先如第7圖所示,在敍述的第6圖所示之半導體製造裝置9中,在此例中,在基板的表面將相對介電常數低的SiOCH膜200與本發明的非晶質碳膜10依此順序成膜(第7圖(a)),在載置90內收納結束該等之處理的晶圓。而且該載置90是藉由搬運機械手臂201被搬運到塗佈/顯像裝置202。在塗佈/顯像裝置202,是先在非晶質碳膜10之上形成有例如化學增幅型光阻模203(第7圖(b))。其次,對光阻膜203施行曝光處理(第7圖(c))。在此,光阻膜203例如為負型的話,光接觸的部分為非溶解性,例如為正型光阻膜203的話,光接觸的部分為溶解性。在此例中,使用負型的光阻膜203。接著,在負型光阻膜203之上塗佈顯像液。塗佈顯像液之後,使該狀態保持既定時間,對顯像液溶解溶解性的部分204(第7圖(d))。接著以洗淨液沖洗非晶質碳膜10上的顯像液(第7圖(e)),使其乾燥得到既定的光阻圖案205(第7圖(f))。又如第8圖所示,在該形態中,半導體製造裝置9、塗佈/顯像裝置202及搬運機械手臂201是藉由控制部300控制。在半導體製造裝置9所成膜處理的晶圓被搬回到載體90內之後,由控制部300對搬運機械手臂201傳送控制訊號,藉由搬運機械手臂201將載置在半導體製造裝置9的載體90搬運到塗佈/顯像裝置202。
在此,敍述有關本發明之非晶質碳膜10之反射率的測定結果。反射率之測定結果,是準備在矽晶圓表面膜30nm的非晶質碳膜10的樣品1、和在矽晶圓表面成膜100nm的非晶質碳膜10的樣品2,對該等樣品1、2表面分別照射193nm的ArF雷射光和248nm的KrF雷射光,測定各雷射光的樣品1、2的反射率。於表1標示其測定結果。
如表1所示,了解到對於以KrF雷射光來增厚非晶質碳膜10的膜厚,反射率變低,而以ArF雷射光來增厚非晶質碳膜10的膜厚,反射率變高。由此種情形來看,得到較低的反射率,並不是單純的增厚非晶質碳膜10的膜厚,必須藉由照射到非晶質碳膜10的曝光源來調整非晶質碳膜10的膜厚。再者,反射率為不滿10%之膜的話,由足以作為防反射膜的功能來看,本發明的非晶質碳膜10可利用作為防反射膜。又,由於在光阻膜203的下層,作為防反射膜使用的本發明之非晶質碳膜10,如已述也兼備作為硬遮罩的功能,因此不像習如需要層積具有該等功能的各種薄膜,非晶質碳可為單膜。因此,可達到削減半導體裝置製造上的製程、提高處理量。
(其他適用例3)進而本發明的非晶質碳膜,可作為埋設有電晶體的絕緣層,取代BPSG膜(Boron Phosphorous Silicate Glass)使用。像這樣作為埋設有電晶體的絕緣層而使用非晶質碳膜,可減低在電晶體的配線與柵極電極間產生的寄生電容。在第9圖表示以本發明的非晶質碳作為絕緣層應用的CMOS電晶體。第9圖中的210是p型矽層,220是n阱(well)層,230是p阱層,221及222分別為源極及汲極的p 型部分,231及232分別為源極及汲極的n 型部分,211是閘極氧化膜,212是閘極電極,213是多晶矽膜,214是引出電極,219是元件分離膜,10是本發明的非晶質碳膜。又,215是例如由鎢(W)所製成的配線,216是側壁。而且,在非晶質碳膜10之上堆積一層例如埋置有以銅所製成的配線層217與電極220的層間絕緣膜218。
(其他適用例4)更又本發明的非晶質碳膜可作為層間絕緣膜之CF膜與硬遮罩之作為用以提高與SiCOH膜之密著性之較薄的例如10nm以下的密著膜(保護膜)使用。亦即,當在CF膜,於上面成膜SiCOH膜時,例如將三甲基矽烷等之有機源極的蒸氣(氣體)與氧氣電漿化,此時氧的活性種與CF膜中的碳產生反應,釋放出二氧化碳(CO2 )。因此CF膜之表面部的緻密性變差,結果CF膜與SiCOH膜的密著性變差。於是在CF膜之上成膜SiCOH膜之前,成膜非晶質碳膜,藉由非晶質碳膜而抑制成膜SiCOH膜時所用的氧之活性種進入膜中,結果CF膜與SiCOH膜的密著性變大。像這樣非晶質碳膜是介設在CF膜與藉由含氧的電漿所成膜的膜之間,可取得該膜與CF膜的密著性。
(實施例1-1)實施例1-1,是在上述之實施形態的成膜方法中,將2-丁炔的流量設定在100sccm的同時,將Si2 H6 的流量設定成各種值,對晶圓成膜非晶質碳膜。該非晶質碳膜曝露在大氣,測定該相對介電常數(k)以及膜厚之後,在常壓下N2 (氮)環境下,加熱到400℃進行退火處理。退火處理後再度測定膜厚,算出熱收縮率(相對於退火前之膜厚的退火後之膜厚的收縮率)。第10圖(a)是表示該結果的座標圖,其中縱軸是表示相對介電常數及熱收縮率,橫軸是表示相對於2-丁炔之流量的Si2 H6 之流量的比例。
(實施例1-2)與實施例1-1同樣的,成膜非晶質碳膜之後,進行退火,調查相對介電常數及熱收縮率。但與實施例1-1不同,將Si2 H6 的流量設定成4sccm,且每當進行處理即改變2-丁炔的流量。第10圖(b)是表示該結果的座標圖。
由第10圖(a)(b)即可明白,雖然相對於2-丁炔之流量,Si2 H6 之流量愈多熱收縮率愈小,但相對介電常數變大,熱收縮率與相對介電常數成為取捨(trade off)的關係。了解到分別調節2-丁炔氣體的流量以及Si2 H6 氣體的流量,就能調節非晶質碳膜的相對介電常數與熱收縮率。對於得到非晶質碳膜之相對介電常數的優點,相對介電常數為3.3以下為佳,3.0以下更佳,此時熱收縮率為3.0,得到較小的值。
(實施例1-3)與實施例1-1、1-2同樣的,在晶圓成膜非晶質膜。此時分別改變2-丁炔氣體的流量與Si2 H6 氣體的流量,調查相對介電常數(k)及熱收縮率為佳之值的惰性氣體之流量。第11圖(a)是以座標圖表示此時得到的各膜之相對介電常數與各氣體之流量的值,第11圖(b)是以座標圖表示各膜之熱收縮率與各氣體之流量的值。評估的結果,2-丁炔氣體的流量、Si2 H6 氣體的流量分別為220sccm、4.5sccm時,相對介電常數k為2.88,熱收縮率為0.7%,得到最佳的值。
(實施例2)對成膜有以實施例1-3得到的相對介電常數為2.88、熱收縮率為0.7%的非晶質碳膜之晶圓,由晶圓側施加電壓,調查有關該非晶質碳膜之電流的漏洩特性。第12圖是表示該結果的座標圖,其中縱軸是表示電場的強度,橫軸是表示漏洩電流的密度。即使提高電場的強度,漏洩電流之密度的值低,表示所得到的非晶質碳膜具有足夠的絕緣性,表示可作為半導體裝置之絕緣膜使用。
(實施例3)使用已述的實施形之成膜裝置2,在晶圓上成膜下述之表2所標示的四種非晶質碳膜的樣品。表中Si添加率是在成膜中對供給到處理容器20之2-丁炔氣體之流量的Si2 H6 氣體流量的比例。實施樣品1是從實施例1-3所用的膜之中得到最佳之特性的非晶質碳膜。實施樣品2是實施例1-1所用的膜之中的一個非晶質碳膜。比較樣品1及比較樣品2是在成膜處理中不進行Si2 H6 氣體的供給,只藉由2-丁炔氣體成膜的非晶質碳膜。又有關比較樣品1、比較樣品2,是將處理容器20內的壓力等之參數設定成各不相同的值進行成膜,如表2所標示,相對介電常數與熱收縮率性能各不相同。比較樣品2是以在不加Si的條件下,相對介電常數及熱收縮率性能為佳之值的方式來調節各參數而成膜。
(考察)整理實施例1至實施例3的資料,則如第13圖。在此得到的非晶質碳膜之特性,找出具有實用性大的優點,相對介電常數為3.3以下,熱收縮率為2.0以下的製程條件。由第13圖即可明白,具有該等特性的膜,是相對於2-丁炔氣體之流量的Si2 H6 之流量比例為2%以上、4%以下的範圍。更佳是Si2 H6 之流量為大於3sccm、小於5sccm的範圍。再者,第13圖中的虛線之直線是相對於2-丁炔氣體之流量的Si2 H6 之流量比例(%)。
藉由SEM拍攝所得到的實施樣品及比較樣品的縱斷面。第14圖(a)~(d)是模式表示該影像。在含Si的實施樣品1及實施取様,非結晶為向同性成長,細小的粒界分佈均勻。對此,不含Si的比較樣品1中,非結晶是朝縱向柱狀異向性成長,而且比較樣品2的斷面也觀察到結晶的異向性成長。結晶為異向性成長的話,雖然氣體和電流易於通過結晶間的間隙而流動,但結晶為等向性成長的話,由於氣體和電流難以通過結晶間的間隙而流動,因此就能抑制電流的漏洩,膜的強度也提高。因而認為有關防止電流漏洩的性能及膜的強度,各實施樣品比各比較樣品優。再者,有關各樣品以XRD(X射線繞射裝置)進行評估時,該等樣品的膜呈現非晶質化。
(實施例4-1)接著針對實施例3所用的各樣品來測定膜強度及彈性係數之指標的楊氏率(Modulus)。在該實施例4-1中,雖是在矽基板上成膜各樣品進行測定,但為了緩和該矽基板的影響,各樣品成膜形成具有1000nm的膜厚。第15圖是以座標表示測定結果,座標的縱軸是表示楊氏率。座標的橫軸是表示相對於膜之厚度的表面至測定點的深度之比例。以座標中四角框框起的數值,是由前述深度之比例為10%時的該座標圖所求得的各樣品之楊氏率,有關含有Si的實施樣品1的楊氏率,出現較比較樣品1還高的12GPa較好的值。又有關實施取樣2為27GPa的話,出現樣品中最高的值。
(實施例4-2)接著與實施例4-1同樣的,對各取樣的非晶質碳膜測定膜強度之指標的硬度(Hardness)。與實施例4-1同樣的,為了緩和矽基板的影響,各樣品是成膜成在該矽基板上具有1000nm的膜厚。第16圖是座標表示測定結果,其中座標的縱軸表示硬度,橫軸表示與第15圖的座標相同,相對於膜厚的測定位置之深度的比例。以座標中四角標框起的數值是自深度之比例為10%時的該座標所求得的各樣品的硬度,楊氏率高的順序,其硬度也高。
根據實施例4-1、4-2,含Si的實施樣品1、2的非晶質碳膜之楊氏率及硬度大於比較樣品1,得知藉由添加Si就能提昇彈性係數及膜強度。又含Si多的實施樣品2的膜之楊氏率及硬度也是樣品中最高,得知Si量增加就能提高該等之值。
(實施例5)實施例5是有關實施取樣1、2及比較樣品1的膜,使用FT-IR(傅立葉轉換紅外線光譜儀)裝置,來測定紅外線光譜。其中於第17圖(a)表示實施樣品1、2的光譜,於第17圖(b)表示比較樣品1的光譜。有關實施樣品1、2,是在波數500~1000cm-1 的範圍,如中心線61、62圈起的範圍所示,出現表示Si與甲基之耦合的峰值。另一方面,比較樣品1的光譜,並未觀察到這樣的峰值。因而,由該座標圖來看,在實施樣品1、2的膜中,認為出現可形成C(碳)-Si-C的耦合,藉由前述耦合提昇膜的強度,改善熱收縮率性能。再者,觀察第17圖(a)(b)的波數3000cm-1 附近的峰值,出現C-H耦合。
可是含於膜中的Si與羥基產生反應,形成Si-OH耦合的話,雖然在波數3500(cm-1 )附近以虛線所圈起的範圍63出現峰值,但如第17圖(a)所示,在實施樣品1、2中,並未觀察到那樣的峰值。因而即使在膜中添加Si還是能形成Si-OH耦合,藉此膜不會產生吸濕,膜的強度就不會因該吸濕產生劣化,或者易引起電流的漏洩。
(實施例6)實施例6是事先測定複數個晶圓的曲率,然後在各晶圓成膜實施樣品、比較樣品的膜。針對該等之成膜後的各晶圓,分別對成膜之後、自成膜起經過一天後、自成膜起經過七天測定曲率,由該測定值與成膜前測定的測定值算出各樣品的應力。又,自成膜起經過七天後的晶圓,在N2 環境中,以400℃退火,亦針對該退火後的晶圓測定其曲率算出各樣品的應力。第18圖是表示像這樣對每一測定時間的各樣品之應力的座標圖。座標圖的縱軸是表示各樣品之應力的值,成膜前與成膜後,晶圓之曲率的變化之差愈小其應力之值愈小。
由第18圖的座標圖得知,在退火前,經過一段時間的同時,確認其應力之值上昇,但實施樣品1的應用為樣品中最小,該經歷時間變化也最小。又實施樣品2的經歷時間變化也很小。而且退火後,各樣品中,施加於晶圓的應力減少,但對於有關比較樣品1、2,應力變化大,實施樣品1、2之應力的變化比該等比較樣品受抑制。膜的應力及其變化較小的話,膜很穩定,賦予接觸的其他膜之影響變小。因而添加Si而成膜的非晶質碳膜很穩定,即使退火後也不易從接觸的其他膜引起剝離,表現出本發明的效果。
(實施例7)接著調查有關實施樣品1、比較樣品1之膜中的組成,標示在下記的表3。藉此確認實施樣品1含Si,比較樣品1未含相同元素。再者,雖確認實施樣品1中含氧(O),但由實施5確認Si-OH並未耦合,因此認為膜中存在其他的耦合,含氧(O)。
(實施例8-1)在晶圓將如第19圖(a)所示的SiCN膜71、CFx膜72、與上述之實施樣品1相同之成膜的非晶質碳膜73、SiCO膜74向上按此順序層積。再者,SiCN膜71、CFx膜72、非晶質碳膜73、SiCO膜74的厚度分別為6nm、150nm、25nm、100nm。此種層積膜之形成後,以SEM拍照其縱斷,接著與實施例1-1同樣的進行退火處理。退火的處理時間為一小時。退火處理後,再以SEM拍攝層積膜的縱斷面,與退火前的影像做比較。
第19圖(b)、(c)是分別模式表示退火前、退火後的SEM影像,由該等之SEM影像觀察不出非晶質碳膜73的熱收縮(膜減少),又退火處理後,在該膜73亦不會形成空洞。
(實施例8-2)接著對晶圓形成如第20圖(a)所示的層積膜。該層積膜除了形成Cu膜75取代SiCO膜74,其他與實施例7-1之層積膜同樣的構成。Cu膜75的膜厚為30nm。對該層積膜,與實施例8-1同樣的進行退火處理,針對退火處理前後的層積膜之縱斷側面的SEM影像,觀察其變化。
第20圖(b)、(c)是模式表示退火前、退火處理後的SEM影像。從該等SEM影像並未觀察到非晶質碳膜73的熱收縮(膜減少),又退火處後,亦未看見形成空洞。
由實施例8-1及8-2的結果得知,添加Si的非晶質碳膜很穩定,因退火所致的熱收縮受到抑制。因而出現本發明的效果。
(實施例9)實施例9是按照已述的實施形態的成膜順序,對複數個晶圓進行非晶質碳膜的成膜。但其中2-丁炔的流量設定為100sccm,處理容器20內的壓力設定為2.67Pa(20mTorr),使每個晶圓改變Si2 H6 氣體的流量進行處理。接著除了處理容器20內的壓力設定為5.33Pa(40mTorr)以外,以相同的條件使每個晶圓改變Si2H6氣體的流量進行處理。針對所得到的膜測定相對介電常數,然後與實施例1-1等同樣的進行退火,測定熱收縮率。
第21圖是表示該結果的座標圖,其中座標圖的縱軸是表示相對介電常數及熱收縮率,橫軸是表示相對於2-丁炔氣體之流量的Si2 H6 之流量的比例。由該座標圖得知,相對於2-丁炔氣體的Si2 H6 氣體之供給量相同的情形下,可提高壓力,使熱收縮率的比例降低。又,得知Si2 H6 氣體之流量比例為3%以下的情形下,可提高壓力,亦使相對介電常數降低。但成膜時,處理容器20內的壓力昇高的話,膜之形成速度(D/R:附著率(Deposit Rate))變慢,例如壓力為13.3Pa(100mTorr)的情形下,D/R為10nm/min。由此情形,成膜時,處理容器20內的壓力控制在5.33Pa(40mTorr)~9.33Pa(7omTorr)較佳。
1A...配線電路部
10...非晶質碳膜
11...層間絕緣膜
12...銅(Cu)配線
12a...絕緣材料
13...阻障金屬(barrier metal)
14...層間絕緣膜
15a...溝槽(trench)
15b...孔
15...凹部
16...阻障金屬
17...Cu金屬
18...配線
2...電漿成膜裝置
20...處理容器(真空反應室)
21b...冷卻套
21...載置台
22...高頻電源部
23...蓋板
24...排氣管
25...壓力調整部
26...真空泵
3...第1氣體供給部
31...氣體供給孔
32...氣體流路
33...第1氣體供給管路
34...供給源
4...第2氣體供給部
41...第2氣體供給孔
42...氣體流路
43...第2氣體供給管路
44...開口部
45...供給源
5...天線部
51...扁平天線本體
52...平面天線構件(狹縫板)
53...遲相板
54...同軸導波管
54A...導波管
54B...中心導體
56、56a、56b...狹縫
55...微波產生手段
80層間絕緣膜
81...SiCOH膜
82...光阻膜
9...半導體製造裝置
90...載體
91...第1搬運室
92、93...加載互鎖真空室
94...第2搬運室
95...對準室
96...第1搬運手段
97...第2搬運手段
98、99...成膜裝置
100...退火裝置
101...控制部
102...記憶部
200...SiOCH膜
201...搬運機械手臂
202...塗佈/顯像裝置
203...光阻模
204...部分
205...光阻圖案
300...控制部
210...p型矽層
220...n阱(well)層
230...p阱層
221、222...p 型部分
231、232...n 型部分
211...閘極氧化膜
212...閘極電極
213...多晶矽膜
214...引出電極
215...配線
216...側壁
217...配線層
218...層間絕緣膜
219...元件分離膜
220...電極
V1~V3...閥
MFC1~MFC3...流量調整手段
W...晶圓
第1圖(a)(b)(c)(d)(e)(f)是表示包含本發明之非晶質碳膜的一實施形態的半導體裝置之製造順序的製程圖。
第2圖是表示用以成膜前述非晶質碳膜的成膜裝置之一例的縱斷側面圖。
第3圖是設置在前述成膜裝置的氣體供給部的俯視圖。
第4圖是設置在前述成膜裝置的天線部的立體圖。
第5圖(a)(b)(c)(d)(e)(f)是表示包含本發明之非晶質碳膜的其他半導體裝置之製造順序的製程圖。
第6圖表示用來製造前述半導體裝置的半導體製造裝置之一例的概略俯視圖。
第7圖(a)(b)(c)(d)(e)(f)是表示包含本發明之非晶質碳膜的其他半導體裝置之製造順序的製程圖。
第8圖是包含有關本發明之實施形態的半導體製造裝置之基板處理系統的構造圖。
第9圖包含本發明之非晶質碳膜的其他半導體裝置的縱斷側面圖。
第10圖(a)(b)是表示調查前述膜之熱收縮率性能及相對介電常數與成膜氣體之流量的關係的評估試驗結果之座標圖。
第11圖(a)(b)是表示調查前述膜之熱收縮率性能及相對介電常數與成膜氣體之流量的關係的評估試驗結果之座標圖。
第12圖是表示施加於前述膜的電場之強度與膜之漏洩電流之密度的關係之座標圖。
第13圖是表示本發明之非晶質碳膜的特性之特性圖。
第14圖(a)(b)(c)(d)是前述膜及不含Si之非晶質碳膜的斷面之模式圖。
第15圖是表示測定上述之各膜的楊氏率之結果的座標圖。
第16圖是表示測定上述之各膜的硬度之結果的座標圖。
第17圖(a)(b)是上述之各膜的紅外線光譜。
第18圖是表示上述之各膜的應力之測定結果的座標圖。
第19圖(a)(b)(c)是表示本發明之非晶質碳膜的退火前後之變化的剖面圖。
第20圖(a)(b)(c)是表示本發明之非晶質碳膜的退火前後之變化的剖面圖。
第21圖是調查成膜時之壓力波及熱收縮率性能及相對介電常數之影響結果的座標圖。

Claims (10)

  1. 一種成膜方法,其特徵為包含:於處理容器內的載置台載置基板的步驟,在前述處理容器內,含有丁炔氣體、與含矽氣體,藉由以含矽氣體中的矽原子之莫耳流量對前述丁炔氣體之莫耳流量之比率為4%以上、8%以下的條件將這些氣體電漿化而得到的電漿,在前述基板形成含矽,相對介電常數為3.3以下,熱收縮率為3%以下的非晶質碳所構成的絕緣膜的步驟。
  2. 如申請專利範圍第1項所記載的成膜方法,其中,前述丁炔氣體為2-丁炔(C4 H6 )氣體,前述含矽氣體為二矽烷(Si2 H6 )氣體。
  3. 如申請專利範圍第1或2項所記載的成膜方法,其中,前述絕緣膜形成中,係將處理容器內的壓力維持在5.33Pa~9.33Pa。
  4. 如申請專利範圍第1或2項所記載的成膜方法,其中,由前述非晶質碳所構成的絕緣膜,進行退火處理。
  5. 如申請專利範圍第1或2項所記載的成膜方法,其中,於包含前述丁炔氣體與含矽氣體的氣體,被添加B(硼)、N(氮)、Li(鋰)、W(鎢)、Ti(鈦)、S(硫磺)、鋁(Al)所選擇之一種或兩種以上之元素。
  6. 一種半導體裝置,其特徵為具備藉由申請專利範圍第1至5項之任一項所記載的成膜方法形成的非晶質碳膜。
  7. 如申請專利範圍第6項所記載的半導體裝置,其中,前述非晶質碳膜係作為供防止配線金屬的構成元素擴散至層間絕緣膜之障壁膜使用。
  8. 如申請專利範圍第7項所記載的半導體裝置,其中,前述非晶質碳膜,中介於配線金屬被埋入的第1層間絕緣膜,與被層積於此第1層間絕緣膜之上,被埋入配線金屬的第2層間絕緣膜之間,作為形成在第1層間絕緣膜埋入配線金屬之用的凹部時的遮罩來使用。
  9. 一種成膜裝置,其特徵為具備:於其內部具備載置基板的載置台之處理容器,真空排氣此處理容器內之用的手段,對前述處理容器內供給丁炔氣體之第1氣體供給部,對前述處理容器內供給含矽氣體之第2氣體供給部,分別調整包含由前述第1氣體供給部供給的丁炔氣體及由前述第2氣體供給部供給的含矽氣體的氣體之供給量之流量調整手段,在前述處理容器內,使包含前述碳化氫氣體及含矽氣體電漿化而藉由該電漿於前述基板上形成由包含矽的非晶質碳所構成的絕緣膜之用的電漿產生手段, 輸出供實行申請專利範圍第1至5項之任一項所記載的成膜方法支用的控制訊號的控制部。
  10. 一種記憶媒體,其特徵為在電腦上動作,收容被組入實施申請專利範圍第1至5項之任一項所記載的成膜方法之步驟群的程式。
TW096145700A 2006-12-01 2007-11-30 A semiconductor device, a film forming method, a film forming apparatus, and a memory medium TWI431691B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006326172A JP5200371B2 (ja) 2006-12-01 2006-12-01 成膜方法、半導体装置及び記憶媒体

Publications (2)

Publication Number Publication Date
TW200832552A TW200832552A (en) 2008-08-01
TWI431691B true TWI431691B (zh) 2014-03-21

Family

ID=39467959

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096145700A TWI431691B (zh) 2006-12-01 2007-11-30 A semiconductor device, a film forming method, a film forming apparatus, and a memory medium

Country Status (7)

Country Link
US (1) US20100032838A1 (zh)
EP (1) EP2105953A4 (zh)
JP (1) JP5200371B2 (zh)
KR (1) KR101198107B1 (zh)
CN (1) CN101548368A (zh)
TW (1) TWI431691B (zh)
WO (1) WO2008066173A1 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5289863B2 (ja) * 2008-08-28 2013-09-11 東京エレクトロン株式会社 アモルファスカーボンナイトライド膜の形成方法、多層レジスト膜、半導体装置の製造方法および制御プログラムが記憶された記憶媒体
US8524616B2 (en) * 2008-11-12 2013-09-03 Microchip Technology Incorporated Method of nonstoichiometric CVD dielectric film surface passivation for film roughness control
JP5653018B2 (ja) * 2009-09-24 2015-01-14 東京エレクトロン株式会社 酸化マンガン膜の成膜方法
JP5411171B2 (ja) * 2010-02-05 2014-02-12 東京エレクトロン株式会社 アモルファスカーボン膜を含む積層構造を形成する方法
JP5067432B2 (ja) * 2010-02-15 2012-11-07 東京エレクトロン株式会社 塗布、現像装置、現像方法及び記憶媒体
JP2011181672A (ja) * 2010-03-01 2011-09-15 Taiyo Nippon Sanso Corp 低誘電率層間絶縁膜および低誘電率層間絶縁膜の成膜方法
JP5648523B2 (ja) 2011-02-16 2015-01-07 富士通株式会社 半導体装置、電源装置、増幅器及び半導体装置の製造方法
JP2012212706A (ja) * 2011-03-30 2012-11-01 Tohoku Univ 半導体装置及びその製法において用いられるアモルファスカーボン膜の製造法
TWI532794B (zh) * 2011-07-01 2016-05-11 太陽誘電化學技術股份有限公司 底漆組合物、包含該組合物所形成之底漆層之構造體及該構造體之製造方法
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
WO2013099300A1 (ja) * 2011-12-28 2013-07-04 国立大学法人東北大学 配線構造体、配線構造体を備えた半導体装置及びその半導体装置の製造方法
US20130221535A1 (en) * 2012-02-24 2013-08-29 Xiaolong Ma Diffusion Barrier Layer, Metal Interconnect Arrangement and Method of Manufacturing the Same
US20160281216A1 (en) * 2013-03-19 2016-09-29 Taiyo Yuden Chemical Technology Co., Ltd. Structure having stain-proofing amorphous carbon film and method of forming stain-proofing amorphous carbon film
US20160056455A1 (en) * 2013-04-02 2016-02-25 Taiyo Chemical Technology Co., Ltd. Structure equipped with amorphous carbon film having electrically conductive part and containing silicon, and method for manufacturing same
US9320387B2 (en) * 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
JP6711673B2 (ja) * 2016-04-06 2020-06-17 キヤノン株式会社 光電変換装置、光電変換装置の製造方法及び撮像システム
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US20190368040A1 (en) * 2018-06-01 2019-12-05 Asm Ip Holding B.V. Infiltration apparatus and methods of infiltrating an infiltrateable material
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN113891954A (zh) 2019-05-29 2022-01-04 朗姆研究公司 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5559367A (en) * 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
TW366367B (en) * 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US6815329B2 (en) * 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
JP2002164330A (ja) * 2000-07-24 2002-06-07 Canon Inc 遮光膜で被覆された透過窓を有するプラズマ処理装置
JP2003203852A (ja) * 2002-01-09 2003-07-18 Mitsubishi Electric Corp アライメントマーク構造およびその製造方法、アライメントマーク検出方法
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
JP2005045053A (ja) * 2003-07-23 2005-02-17 Elpida Memory Inc 半導体装置の製造方法
JP4725085B2 (ja) * 2003-12-04 2011-07-13 株式会社豊田中央研究所 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
JP2006245578A (ja) * 2005-02-28 2006-09-14 Hynix Semiconductor Inc 半導体装置の製造方法
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon

Also Published As

Publication number Publication date
CN101548368A (zh) 2009-09-30
EP2105953A4 (en) 2011-12-14
JP5200371B2 (ja) 2013-06-05
US20100032838A1 (en) 2010-02-11
TW200832552A (en) 2008-08-01
JP2008141009A (ja) 2008-06-19
EP2105953A1 (en) 2009-09-30
WO2008066173A1 (fr) 2008-06-05
KR20090087466A (ko) 2009-08-17
KR101198107B1 (ko) 2012-11-12

Similar Documents

Publication Publication Date Title
TWI431691B (zh) A semiconductor device, a film forming method, a film forming apparatus, and a memory medium
US7772111B2 (en) Substrate processing method and fabrication process of a semiconductor device
US7803705B2 (en) Manufacturing method of semiconductor device and film deposition system
US11133460B2 (en) Methods for forming structures with desired crystallinity for MRAM applications
KR100849573B1 (ko) 오가노실리케이트층의 증착방법
US7244672B2 (en) Selective etching of organosilicate films over silicon oxide stop etch layers
US9082822B2 (en) Method of manufacturing semiconductor device
US6472333B2 (en) Silicon carbide cap layers for low dielectric constant silicon oxide layers
JP5082411B2 (ja) 成膜方法
TW200805498A (en) Semiconductor device and manufacturing method therefor
JP2008004841A (ja) 半導体装置及び半導体装置の製造方法
CA3115654C (en) Preclean and dielectric deposition methodology for superconductor interconnect fabrication
US6423637B2 (en) Method of manufacturing copper wiring in a semiconductor device
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
US11094588B2 (en) Interconnection structure of selective deposition process
TW201541516A (zh) 成膜方法、半導體裝置製造方法及半導體裝置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees