TWI375990B - Mask etch plasma reactor with cathode providing a uniform distribution of etch rate - Google Patents

Mask etch plasma reactor with cathode providing a uniform distribution of etch rate Download PDF

Info

Publication number
TWI375990B
TWI375990B TW096126713A TW96126713A TWI375990B TW I375990 B TWI375990 B TW I375990B TW 096126713 A TW096126713 A TW 096126713A TW 96126713 A TW96126713 A TW 96126713A TW I375990 B TWI375990 B TW I375990B
Authority
TW
Taiwan
Prior art keywords
cathode
mask
square
ring
plasma reactor
Prior art date
Application number
TW096126713A
Other languages
English (en)
Other versions
TW200822213A (en
Inventor
Richard Lewington
Michael N Grimbergen
Khiem K Nguyen
Darin Bivens
Madhavi R Chandrachood
Ajay Kumar
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200822213A publication Critical patent/TW200822213A/zh
Application granted granted Critical
Publication of TWI375990B publication Critical patent/TWI375990B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

1375990 九、發明說明: 【發明所屬之技術領域】 本發明關於具有可提供蝕刻速度均勻分佈的^ 極的罩幕蝕刻電漿反應器。 β 【先前技術】 在超大規模集成(ULSI )半導體晶圓處理中使 用的光微影罩幕的製造比半導體晶圓處理需要更言 程度的餘刻均勻性。單一罩幕圖案一般在石英罩& 中占四平方英吋的面積。罩幕圖案的圖像向下聚焦 到單一晶片(die )的面積上(一平方英吋),然後在 整個晶圓上步進,形成用於蝕刻每片晶片的單—圖 像。在石英罩幕中蝕刻罩幕圖案之前,通過掃描電 子束將罩幕圖案寫人到光阻劑中,這是—個耗時的 製程’致使罩幕的成本非常高。罩幕㈣製程在整 :罩幕的表面上是不均句的。而且,寫人光阻劑圖 案的電子束本身是不均勾的,並在晶圓上45nm特徵 尺寸的情形下,在整個罩幕上表現出臨界尺寸(例 如,線寬)約2-3nm的變化。(例如,該變化是所有 所測線寬令3σ變異。)光阻劑臨界尺寸 ==的這種不均勾性典型地在不同罩幕源 :’、 化。爲了迎合目前的需要,罩幕蝕刻 製程不能增加該變化大於lnm,以致在所银刻罩幕 圖案中的變化不超過3_4請。這些嚴格的要求由在石 6 Γ375990 央罩幕圖案中衍射效應的使用而産生,以在晶圓上 實現清晰的圖像。以目前的技術難以迎合該需求。 對於可此包括22nm晶圓特徵尺寸的未來技術可能 更難°該難點通過與蝕刻偏置的現象綜合,其中在 罩幕#刻期間光阻劑圖案的損耗致使石英罩幕上所 蝕刻圖案的線寬(臨界尺寸)減小。這些困難在罩 幕飯刻製程中是固有的,原因在於典型的罩幕材料 (例如,石英、鉻、鉬矽化物)的相對於光阻劑的 蝕刻選擇性典型地小於卜從而在罩幕蝕刻製程期間 罩幕光阻劑圓案被蝕刻。 一些單幕圖案需要在石英罩幕中蝕刻精確限定 深度的週期開口,這對於在通過罩幕曝光晶圓期間 實現干涉光束的非常精細的相位校準是很關鍵的。 例如,在:種類型的相移罩幕t,每條線由鉻線和 在鉻線的每侧上暴露的細石英線限定,在一側上的 石英線_至精確的深度,該深度提供光線相對於 穿過未蝕刻石英線路的光線的18〇度相移。爲了精 確控制石英中的蝕刻深度’蝕刻製程必需通過週期 中斷而精確監控,以測量在石英中的蝕刻深度。每 個該檢查需要從草幕蝕刻反應腔室去除罩幕,去除 光阻劑,測量蝕刻深度’然後基於已用的蝕刻製: 時間估計f要達到目標㈣深度的剩餘㈣製程時 間,沈積新的光阻劑,電子束在光阻劑上寫上罩幕 圖案’再次引入罩幕到罩幕蝕刻腔室中並重新開始 7 钱刻製程。f爾α泰π# 宕# θ β Υ現所需深度的剩餘蝕刻時間的估計假 疋蝕刻速度保持穩定及均勻,?估于假 該繁瑣程式的問題包括低生産力和:成m。 需要㈣控制能性。而且,由於 該問題❶ 彳又看起來似乎沒有辦法解决 要在ί:=:變…小容忍度(―需 要精確餘刻深度的罩幕中,存在兩 種類刑沾,一個是線寬,另一個是蝕刻深度。兩 ::里的臨界尺寸的均句性都需要整個罩幕上均勾 沾/刻速度为佈。通過採用可以改變電漿離子密度 :徑向分佈的源功率施加器,諸如由疊在晶圓上的 彳外線圈天線組成的感應源功率施加器,蝕刻速 刀佈的非均勻性可以降低到一定程度。然而,该 方法僅能解決對稱的非均勻性,%中心高或中心低 =钱刻速度分佈。在實踐中㈣速度分佈的非均 二丨生可此疋非對稱的,例如,諸如在罩幕的一個角 中的间蝕刻速度。更多基本的限制是罩幕蝕刻製稃 趨於具有&種中心非常低的ϋ刻速度分佈,因此< 調7件(諸如具有内和外線圈的該感應功率施加器) 不此變換蝕刻速度分佈脫離中心低的形式。 非均勻飯刻速度分佈的另一問題爲蝕刻速度分 佈趨於在相同設計的不同反應器中大幅改變,並當 8 Γ375990 =鍵::或易耗損元件被取代時,諸如陰極的取代 對被器内大幅改變。餘刻速度分佈似乎 損部件替二二徵中的小變化非常敏感,在易耗 °牛替換時具有不可預知的變化。 【發明内容】 正方:::提供-種用於蝕刻-工件(諸如矩形或 /罩幕)的電漿蝕刻反應器。在一 反應器包括:—真* A 個樣〒 一工 。 具二腔至其具有頂部和側壁;和 撐底座,其位在一包括陰極的腔室内,該 陰極具有用於支樘 #工件的表面。表面包含多個各個 ^域,母Μ域都由*同電性特性的各種材料形 。該些區域可以相料晶圓支樓底座的對稱軸同 心排列。在一個實施方式中,-内區域包含導體材 料,而-環形外區域包含絕緣體。在另一;= t,該些區域是不同介電常數的不同絕緣體材料。 在另一態樣中,陰極和一下設備板由金屬形 ί。陰極具有底表面,以及設備板具有與陰極的底 一面1目對的頂表面,並且它們通過異金屬的螺絲固 定在一起。爲了降低在螺絲頭處的RF非均勻性在 陰極和設備板之間提供一薄環層,並且薄環層由異 金屬形成和位於陰極和設備板的週邊。爲了改善險 極和板之間的傳導均句性,在面對陰極和板的表: 的週邊上提供一高導電的塗層。 9 Γ375990 【實施方式】 具有增強RF均勻性的陰極: 我們已經發現在罩幕蝕刻製程中非均 迷度分佈的一個來源是在實施罩幕蝕刻製程的雷 反應器中容納罩幕的支撐底座或陰極中RF電性非 均勻性的存在。RF偏功率施加到底座以控制在罩幕 表面的電漿離子能量,同時RF源功率施加到頂部的 線圈天線,例如,以産生電漿離子。RF偏功率控制 在罩幕表面影響離子能量的電場。由於在罩幕表面 的離子此量影響蝕刻速度,因此底座中的Rf電性不 均勻引起整個罩幕表面上蝕刻速度的分佈中的非均 勻。我們已經發現在底座中存在RF#均勻性的幾種 j源。一個是將鋁底座(陰極)和鋁設備板固定到 起的欽螺、4。該螺絲在整個底座表面上在電場圖 案中產生2點(並因此在整個罩幕表面上在電場圖 案中産生節點,原因在於它們的電性特性不同於鋁 電極的電性特性)。另一個來源是在陰極和設備板之 間的電導率的非均勻分佈。在設備板和陰極之間的 電傳導主要限制到板和陰極的週邊。這可能至少部 刀知因於在電浆處理期間由真空壓力引起的陰極彎 曲。圍繞該週邊的電傳導可能爲不均勻的,歸因於 多個因素’諸如鈦螺絲的擰緊不均和/圍繞板或基座 的週邊的表面光潔度的變化。我們通過引入改善基 座表面RF電均勻性的幾種部件解決了這些問題。首 10 Γ375990 先,通過提供圍繞陰極頂表面的週邊延伸的連續鈦 環而解決由於鋁陰極中鈦螺絲的存在而引起的rf 電場不均勻性或不連續性,該鈦環包圍所有鈦螺絲 的頭部。通過提供高導電鎳電鍍在設備板和陰極的 面向週邊表面,以及通過在設備板和陰極之間引入 壓缩在它們之間的RF墊圈,來解決由於表面不同或 鈦螺絲擰緊不均引起的電導率的變化。 參照第1圖,用於在罩幕中钱刻圖案的電浆反 應器包括由側壁12和上覆頂14圍繞的真空腔室 ίο,並且其通過控制腔室壓力的真空泵15抽氣。在 腔室10内部的罩幕支樓底座16支撐罩幕18。如隨 後將在本說明書中描述,罩幕典型地由石英基板組 成並可進一步在石英基板的頂表面上包括額外的罩 幕薄膜層’諸如鉻和鉬矽化物。另夕卜,存在圖案限 制層’其可能是光阻劑或者由鉻層形成的硬膜。在 其他類型的罩幕中,除了光阻劑圖案外,石英基板 沒有任何上覆層。 通過由各自的RF源功率産生器24、26驅動的 内和外線圈天線20、22,穿過各自的RF阻抗匹配 電路28、30,施加電聚源功率。雖然側壁12可能是 或者耦合接地的其他金屬,但頂 2緣材料,該絕緣材料允許以功率從線圈天線2爲。、晏 2感應耦合到腔室10中。通過側壁12的頂部中均 句隔開的生入嗔嘴32,經過來自氣體儀錶盤(gas
Panel) 36的氣體歧管34引入製程氣體。氣體儀錶 3 6 ~ 可能由通過各個閥或者質量流量控制器40耦 α到輪出閥或與歧營34耦合的質量流量控制器42 的不同氣體供應38組成。 罩幕支撐底座16由支撐在金屬(例如,鋁)設 備板46上的金屬(例如,鋁)陰極44組成。陰極 44具有内冷卻劑或者熱流體流道(未示出),該流道 由設備板46中的供給口和排出口(未示出)供應及 排出。通過RF偏功率産生器48穿過RF阻抗匹配電 路5〇,RF偏功率施加到設備板^ rf偏功率在設備 板46和陰極44之間的介面上導引到陰極44的頂表 面。陰極44具有中心平臺44a,在其上支撐方形石 英罩幕或基板18。平臺尺寸一般與罩幕18的尺寸匹 配,儘管平臺44a稍微小一些以致罩幕週邊的小部 分或唇部(lip) l8a延伸超過平臺44a 一短距離,如 將在以下所描述的。圍繞平臺44a的底座環52分爲 (如在第2B圖或第7圖中所示的楔形或圓形截面虛 線)組成約五分之二的環52的蓋環52a和組成剩餘 的五分之三的·環52的俘獲環52b。俘獲環52b具有 罩幕18的唇部18a在其中放置的支架54。三個升降 桿56(在第1圖的視圖中僅有一個可見)提起俘獲 環5 2b,其當需要從支撐底座16去除罩幕18時通過 唇部18a提升罩幕18。底座環52由不同電性特性的 材料的層53、55組成’選擇不同的電性特性以在偏 12 Γ375990 功率産生器48的頻率下與由 4…合存在…抗匹配罩(幕蓋1 二= 523 52b由不同的層53、55組成。)然而,俘;環 52的頂表面與罩幕18的頂表面共面,從而延伸^ 罩幕18的邊緣的大朽4本品加 延仲超過 個覃篡的矣而 進在電聚處理期間整 個罩幕的表面上的均句電場和朝電墨。血型地, 如果下環層55是石英而上環層53是陶究;、:: 化幻,則這些條件可以m程㈣3 6二 二產生器24、26、48和晶圓。 裝置…晶圓搬運裝置可包㈣合到升降桿56的升 降伺服糸& 62、機械葉片臂63和在腔室10的側壁 12 中的狹口閥(siit valve) 64。 一系列均勻隔開的鈦螺絲7〇將陰極44和嗖備 板46沿著它們的週邊固定在一起。由於在銘電極/ 設備板44、46和鈦螺絲70之間的電性差異螺絲 70在陰極44的頂表面引入到RF電場的離散不均勻 性。在陰極44和設備板46的相對表面中的變化引 起陰極44和設備板46之間沿著它們的週邊的電導 率的非均句性’其引入在RF電場中的相應不均勻 性。由於在電漿處理(由於腔室真空)期間陰極44 在其中心趨於向上弯曲(bow up),在陰極44和設 備板46之間的主要電接觸是沿著它們的週邊。爲了 降低在陰極44和設備板46之間電導率對(a)在各 種鈦螺絲70之間的緊度的變化和(b)在表面屬性 13 中 薄片I化的敏感性,高導電材料(諸如鎳)的環形 時鎳沈積在陰極44的底表面44b的週邊上同 的項例如)的匹配環形薄片74沈積在設備板46 從而兩/ 46&的週邊上。錄薄片72、74相互對準, 46的:對環接形/表薄面片1 74組成底座44和設備板 岣句八饮 其提供在其之間電性特性的高 形凹槽76 。著陰極44的底表面的週邊設置環 3¾ ^ " 凹槽76内放置導電RF墊圈80,實 况均勻電性特性中的進一步貫 板46中的頂表面中可選地,在設備 形凹样7« D 與凹槽76對準的類似環 諸如ί金屈墊1 Μ可以具有適宜的傳統類型, 在2= 其中當陰極44和設備…制 在欽螺絲70的頂部趨於産生的電場ί 頂=Γ ,將連續欽環82放置在陰極44的 頂表面的週邊中的環形凹槽84中。 第2Α圖描述了罩幕支揮底座16及其下面 9〇。提升組件9Q包括由氣動致動器或升降飼 92二驅動的提升三腳架”和設置在提升三腳架 ; 個升降桿56。升降桿56在包括用於非常 先滑和近似無摩擦運動的滾球轴承9 ^ 9”導引(以降低由磨…丨起的污染升=: =具㈣獲環52b的陰極44和在提高的第二= 罩幕心當罩幕提升時,由蓋環和俘獲環A的 14 Γ375990 的 刻 性 個 插 插 同 度 如 陶 式 的 插 的 表 内 實 所 最 配 圖 方 ( 隔開形成的空隙允却换w y W 1、《 械葉片靠近罩幕U。 在罩幕18的整個表面 速度分佈的問題通過:變上陰:臺中^^^^ 二電常數)的分佈而解決。這=特 實施方式中在平臺44a的頂表面上利用提供 入物1〇2和周圍的外部插入· 104解決,:: 入物與底座環52形成連續 绝兩個 材料組成。例如,爲了降:中面並=不同的不 q 4 m T心非常低的巍 分::趨勢,令心插入物1〇2可以爲導電材料(例 堯,諸:二部插入⑯104可爲絕緣材料(例如, 瓷諸如氧化鋁)。中心插入物1 〇 2沾^ $ 爲RF電产崧徂非叫ν 物102的追種導電形 士 :電4供非常低的阻抗路徑,増加罩幕18 中心處的離子能量和蝕刻速度㈣絕緣的外部 入物104呈現較高的阻抗,其降低罩幕18的週邊 蝕刻速度。這種組合改善了蝕刻速度分佈,使立 現得更近似均勻。以該種特徵,通過調整施加到 和外線圈天線20、22的相對RF功率大小,可以 現敍刻速度分佈的微調。實現均句蝕刻速度分佈 需的在電激離子強度的徑向分佈中的變化降低到 小量,其在内和外線圈20、22之間的RF功率分 的旎力之内,以獲得均勻的蝕刻速度分佈。第3 是内和外插入物102、104的俯視圖。在可選實施 式中,插入物1〇2、1〇4可以是具有不同介電常數 電性介電常數)的絕緣體。第4和5圖爲該概念 15 1375990 的解釋’其中使用具有遞增的不同電性特性的四個 同心環102、104、106、1〇8,以使蝕刻速度分佈更 加均勻。第6和7圖描述了提供陰極44的rf電性 特性分佈的即時可調性的可選實施方式〃活塞Π0 控制在陰極44的中心内部的中空圓柱體u 4内的可 移動鋁板112的軸向位置β鋁板112與鋁平臺4私 的其餘部分電接觸,絕緣體(例如,陶瓷)頂薄膜 u 6可以覆蓋陰極44的頂部。隨著鋁板i丨2推到更 接近於圓柱114的頂部,穿過陰極44的中心區域的 電阻抗降低’從而增加罩幕18中心處耗刻速度。 相反地,隨著鋁板112在圓柱114中遠離罩幕18向 下移動,在罩幕争心處的钱刻速度降低。控制活塞 的轴向運動的致動器118可以由製程控制器60 第1圖)控制,以調整蝕刻速度分佈到最大均勻 性或者補償非均勻性。 通過單幕背面的㈣速度監控和終點檢測: =用通過陰極44及通過罩幕或基板Β的背面 产二感應,降低或消除用於測量罩幕上的蝕刻深 ^ 界尺寸的蝕刻製程的週期間斷的高生産成 於相::令斷钱刻製程以執行該週期測量,原因在 料士:光阻劑的弱蝕刻選擇性:-般地,罩幕材 沈積凰2劑蝕刻更慢。這個問題通常通過在罩幕上 7 、光阻劑層來解決,但是抗蝕膜的高速蝕刻 16 1375990 :致光阻劑表面隨機不均勻或粗糙。該粗糙度影響 過光阻劑的光,從而在臨界尺寸或银刻深度的任 ^光千測I中引入干擾(noise )。因此,每個週期測 量暫時去除光阻劑’以保證無干擾光學測量,在重 新開始中斷的罩幕银刻製程之前,其必須使光阻劑 重新沈積及刻線圖案重寫入光阻劑中。 使用在陰極44内提供的背面光學測量裝置,在 第、8圖中描述的罩幕蝕刻電漿反應器避免了這些困 難並允許在整個蝕刻製程期間臨界尺寸的連續觀察 或蝕刻深度的測量,同時罩幕或基板18留在罩幕支 撐底座16上的適當位置中。背面測量裝置通常利用 罩幕基板18的光學透明性質,其中罩幕基板“典 型是石英。可沈積在其上(諸如鉻或鉬矽化物)^ 薄膜可以是不透明的,但是可以光學感應限定罩幕 1 8的刻線圖案的圖案化開口的形成。可以通過陰極 44在罩幕背面觀察在由該層反射的或所傳輸透過該 層的光強度的變化。該觀察可以用於執行蝕刻製程 終點檢測。當#刻石英材料時,可感應在罩幕背面 通過陰極44所觀察的光學干涉,以在蝕刻製程期間 即時執行钱刻深度測量^ —個優點是從罩幕背面感 應的圖像或光信號不受光阻劑干擾的影響,或者與 試圖從罩幕1 8的頂表面(光阻劑側部)執行該測量 相比至少影響非常小。 爲了這個目的,第8圖的反應器包括在陰極44 17 1375990 的頂=容納…22的…2〇,其中 的W面對罩幕或基板18㈣面一對光纖 124、126,其相對於透鏡 近戋接館兄122的直徑較小,具有靠 边Α接觸透鏡〗22的端部〗24 播庐mi 126a,並且兩者在 透鏡122的光轴處彼此相鄰對準。在第 的每條光纖124、126實際上可爲丨击 田, 且右釭人, 貝際上可爲小束光纖。光纖124 八有耦合到光源128的另—端 篡丨8泳⑽ ^l24b。光源發出對罩 拳18透明的波長的光, 波县。/一 : 對於石英罩幕的可見 波長譜以促進在罩· 18 : 光源128的 遲在卓綦18的刻線圖案中的局部相干 性。對於約45nm (或小於—料半μ、田w 幼κ丨丨从α 微未的週期特徵尺寸) 級別的所姓刻罩幕結構中的週 w ΰτ曰、卜w J町朋特徵’如果光源128 見光sa輻射,則該需求得到、、& 古釭人, ♦付β滿足。光纖126具 有耦合到光接收器130的另—嫂^ 點蛤也丨认比/ ^ 126b。在簡單的終 下,光接收器13〇可以僅探測光強度。 ί從二/透鏡122的視野内的所㈣線的圖 像從而可以確定線寬。在蝕刻深许別θ h ^ J. ro , 挪幻冰度測量的情形下, 尤接收态130可檢測干涉圖宰岑 W rfr〜M未A干涉條紋,從而可 以確疋姓刻深度(即,從干 戋者你m A卞涉圖案或衍射圖案推斷 3者從干涉條紋的計數計算)。 并蛀你在另一實施方式中’ ^ ^.L 多波長干擾測量的分 :心從而可以推斷或計算蝕刻深度。爲了進 種確定,製程控制器6〇包 處理來自光接收器的 光學信 包含以 強度變 感應的 而計算 在該情 可使用 執行姓 通過透 製 製程終 電漿反 號:光學信號處理器132(>該光學信號處理可 下其中之一(取決於特定的執行):從周圍光 化執行蝕刻製程終點檢測;從由光接收器130 二維圖像測量臨界尺寸;通過計數干涉條紋 钱刻深度,從多波長干涉譜確定飯刻深度; 形下光學接收器130由分光計組成。可選地, 該分光計,以通過光發射光譜儀從晶圓背面 刻製程終點檢測,使用通過電漿發出並傳輸 明罩幕18的光,在該情形下不採用光源128。 程控制器60回應來自光學信號處理器132的 點檢測資訊(或蝕刻深度測量資訊),以控制 應器的各種元件,包括RF産生器24、26、 48和晶圓搬運裝置6丨。典型地,當達到蝕刻製程終 點時,製程控制器6〇停止蝕刻製程並使罩幕1 8從 底座1 6去除》 第9圖是描述在鉻蝕刻製程(在該製程中根據 罩幕刻線圖案飯刻石英罩幕表面上的鉻薄膜)期 間’在罩幕的頂側(光阻劑塗覆的)所感應的周圍 反射的光強度與時間的關係。在第9圖的圖表中強 度的大擺動表示由在光阻劑層的頂表面中的粗糙度 引起的干優。虛線表示干擾内隱藏的階梯函數信 號,該階梯函數與鉻蝕刻製程終點一致《第丨〇圖是 在第8圖的反應器中穿過陰極44從晶圓背面進行的 相同測量,其中光接收器丨3 〇感應所反射的光級別。 19 1375990 光阻劑弓丨起的干擾顯著減少, 叙從而終點禮定階梯函 Ϊ = ί示在光學資料中。階梯函數的邊緣示出 =餘製程到達絡薄膜的底部時反射光強度下降 的過渡點,在這種,陰# 減小。 ,鉻的反射表面面積突然 p 圖是光強度隨時間(或者’等效地, =及在第12圖中,如由光學接收 :130感應’其中在光強度中的週期峰對應於干涉 條紋’其間隔確定蝕刻深度,或者在透明石英 基板18中所触刻的接&週期分佈的特徵的不同 之間的厚度差。第n固> .+. 1 弟1圖也述了經過光阻劑從罩暮的 頂側感應的強度,具有消弱干涉條紋檢測的= 劑引起的干擾組分。第12圖描述了由第8圖的光學 接收Θ 130 if過罩{背面感應的強度’纟中光阻劑 引起的干擾實質上不存在。 第13圖是表示光強度與波長的關係圖在光接 收器130由分光計組成以及光源128産生波長的譜 的If形下第13圖的圖表的強度譜的特徵典型爲在 從亞微米待徵t的不同深度的表面所反射的光之間 產生的干涉效應的情形,該亞微米特徵在透明罩幕 1 8中週期隔開。在較低波長處’峰具有明顯的週期 性並且均勻隔開,主要的光效應是干涉。在較高波 長處,在罩幕18中的週期特徵中的局部相干性沒有 這麼強烈,從而衍射效應隨著波長的逐漸增加而變 20 u/5990 ^越來越顯著’導致在較高波長處的強度特徵不太 均勻隔開並且更加複雜,如第13圖所描述。在第13 圖中的峰的間隔,特別在較低波長處,是蝕刻深度 的函數,其中蝕刻深度可能從峰峰間距推斷出。 第14圖示出了第8圖的反應器的實施方式,其 申,接收器130是周圍光強度檢測器以及光信號處 ^器132程式化以尋找在所有所反射光強度中的大 邊形(階梯函數),對應於第丨〇圖的終點檢測圖。 在該實施方式中的光源128可以是任何適宜的光 源。可選地,可以除去光源128 ,從而光感應器13〇 僅回應來自電漿傳輸透過透明罩幕或基板18的光。
第15圖不出了第8圖的反應器的一個實施方 式,其中光接收器130是由透鏡122充分聚焦的干 涉條紋檢測器以消除干涉條紋,以及光信號處理器 132程式化以計數干涉條紋(例如,從第12圖中所 示的類型的強度對時間資料)以計算在透明石英罩 幕18中的蝕刻深度。該計算得到實際上的即時蝕刻 深度,其利用邏輯器200與儲存在記憶體2〇2中= 用戶指定的目標深度相比較。邏輯器2〇〇可以使用 傳統的數位匹配或最小化路線以探測在所儲存和 測量的深度值之間的匹配。匹配使邏輯器2〇〇 程控制器60標記蝕刻終點。 -A 其 明 第16圖示出了第8圖的反應器的實施方式 中採用第13圖的干涉譜技術,以測量或確定1 21 1375990 石英罩幕或基板18中的蝕刻深度。在該情形下,光 源128發出在可見光範圍内(對於約數百納米或較 I的週期罩幕特征尺寸)的多波長或光譜。光接收 器130是分光計。信號調節裝置和類比-數位轉換器 220的結合將由分光計13〇 (對應於第I〗圖的圖) 收集的光譜資訊轉換爲光學信號處理器132可以處 理的數位資料。可以執行終點檢測的一個模式是從 第13圖所示的資料的較低波長範圍内的週期峰之間 的間距計算餘刻深度,如上所述。比較邏輯器200 可以比較即時所測的蝕刻深度與在記憶體2〇2中所 儲存的使用者限定的目標深度,以確定是否已經達 到蝕刻製程終點。在另一模式中,比較邏輯器2〇〇 足夠強以比較表示分光計13〇的即時輸出的數位表 不的波譜(對應於第丨3圖的圖)與對應於所需触刻 深度的已知光譜。該已知光譜可以儲存在記憶體2〇2 中。由比較邏輯200所測的在所測光譜和所儲存的 光譜之間的匹配’或者近似匹配,産生傳送給製程 控制器60的蝕刻製程終點標記。 第17圖示出了第8圖的反應器的實施方式,其 中光學接收器130是光發射分光計,能從由腔室中 的電漿發出的光輻射區分發射線,以執行光發射光 言曰測疋分析(optical emission spectrometry,〇ES )。 處理器132是〇ES處理器,其程式化以追蹤所選光 線的強度(或探測消失),該光學線對應表示層中正 22 1375990 在刻蝕的材料的化學物質。在預定轉換時(例如, 在鉻独刻製程期間在侧㈣中的鉻波長線的消 失)’處理器1 32將蝕刻製程终點探測標記傳送到製 程控制器60。 第18圖描述了我們已經構造的實施方式,在陰 極44的表面中在各個隔開的凹部23丨、233中具有 一對透鏡230、232,透鏡230、232聚焦以消除干涉 條紋,所聚焦的光通過面對或接觸各個透鏡23〇、232 的各自光纖23 4' 23 6傳輸。光纖234、236耦合到 干涉檢測器23 8 (其可以是條紋檢測器或光譜儀), 該檢測器2 3 8具有耦合到製程控制器6 〇的輸出。透 鏡23 0、232接收來自光源通過光纖242、244的光。 該光從罩幕18的頂表面反射回透鏡230、232,並通 過光纖242、244傳輸至檢測器238。另外,第18 圖的實施方式具有在陰極表面中的第三凹部249,其 容納通過光纖252耦合到OES分光計254的輸入的 第三透鏡25〇°〇ES處理器256處理OES分光計254 的輸出’以執行終點探測,並傳輪結果到處理控制 器60。在第19圖中描述了第18圖的實施方式的陰 極44,示出了容納各個透鏡230、232、250的三個 凹部23 1、23 3、249。第20圖示出了用於在設備板 46内容納支撐透鏡230、232、250的光學裝置(未 示出)的的對應的孔260、261、262。第21圖是橫 截面視圖,示出了耦合光纖到底座16内部的透鏡。 23 1375990 應器 18圖 個或 多工 背面 t蝕 基板 阻劑 在第 餘刻 26和 開口 所反 所反 蚀刻 就終 幕( 基板 絡層 以及 雖然已經描述第16圖、第17圖和第18圖的反 爲採用分光什13〇(第16和17圖)和254(第 ),分光叶130或254可由調諧到預定波長的一 多個光學濾波器替代。每個該光學濾波器可與 器(multiplexer )結合,以增強信號振幅。 終點探測的罩幕蝕刻製程: 第22A和22B圖描述了用於在罩幕的石英材料 刻刻線圖案的製程》在第22A圖中,石英罩幕 210已經由具有分隔線214的週期結構和在光 層212中限定的開口 216的光阻劑層212覆蓋。 15或16圖的反應器中,CHF3 + CF4 + Ar的石英 製程氣體引入到腔室1〇中,通過RF産生器24、 48施加功率,以及在由光阻劑層212中形成的 2 1 6内蝕刻石英材料。通過由所蝕刻的頂表面 射的光218和從石英基板21〇的未蝕刻頂表面 射的光219之間的干涉,連續測量在石英中的 深度。只要達到所需的蝕刻深度(第22A圖), 止触刻製程。然後,去除光阻劑以産生所選罩 第22B圖)。 第23A至23E圖描述了用於钱刻由下石英罩幕 210、鉬矽化物層260、(包含鉬氧矽氮化物)、 262、絡氧化物抗反射塗層264和光阻劑層266 在光阻劑層266中形成開口 268組成的三層罩 24 Γ375990 幕結構(第23A圖)。在第23 b圖的步驟中,使用諸 如Ch + OdCF4的鉻蝕刻製程氣體的’在具有簡單反 射係數终點探測(第14圖的腔室)或具有〇ES終點 探測(第14圖的腔室)的電漿反應器中蝕刻鉻層262 和抗反射塗層264。光阻劑層266去除(第23c圖)。 然後’使用鉬矽化物的蝕刻劑的製程氣體,諸如 SF0 + C12,以及使用鉻層262作爲硬模,對鉬矽化物 層260隨後如第23D圖中所示進行刻蝕。該步驟在 具有通過簡單的周圍反射係數的終點檢測或通過 OES終點檢測的電漿反應器中實施,諸如第1 4或1 7 圖所示的腔室。在第23E圖中,使用鉻蝕刻製程氣 體(諸如CH3 + CF4 + Ar)去除鉻層262和鉻氧化物抗 反射射塗層264。該步驟可以使用具有簡單的終點探 測的第14或17圖的反應器實施,而不進行钱刻深 度測量。這留下了具有限定刻線圖案的鉬矽化物的 上覆'層的石英罩幕基板。 第24A至24E圖描述了用於製造二元罩幕的製 程’其中二元罩幕由在所暴露的石英的側向週期間 隔的透明石英罩幕上的週期鉻線組成,交替暴露的 石英間隔蝕刻至所透射光相移所需角度(例如,i 8〇 度)的深度。第24A圖描述了由石英罩幕基板3〇〇、 鉻層302、鉻氧化物抗反射射塗層304和光阻劑層 3 06組成的初始結構。在第24B圖的步驟中,絡= 鉻氧化物層302、304在Cl2 + 〇2 + CF4的製程氣體中 25 Γ375990 的腔室中)蝕 3〇6去除,之 CHF 3 + CF4 + Ar 不進行钮刻。 監控在石英罩 中實施,諸如 間,連續監控 上達到目標蝕 圖中描述了最 在反應器腔室中(諸如第14或口圖 刻。f第24c圖的步驟中,光阻劑層 後石英罩幕基板300的所暴露部分在 的石英蝕刻製程氣體辛如第24D圖所 第24D圖的石英银刻步驟在能感應或 幕基板300中的蝕刻深度的反應腔室 第15或16圖的腔室。在蝕刻製程期 即時蝕刻深度,以及只要在罩幕3〇〇 刻沬度,就終止蝕刻製程。在第24E 終結果。 在整個罩幕表面上蝕刻速度分佈的連續監控: 第25和26圖示出了在陰極44的頂表面中具有 背面蝕刻深度感應元件(透鏡和光纖)的矩陣的第i 圖的晶圓支撐底座1 6的實施方式,在蝕刻製程期間 其連續提供整個罩幕或基板的整個表面上的蝕刻速 度分佈或触刻深度分佈的即時圖像或圖樣,而不中 斷钱刻製程或干擾罩幕基板。鋁平臺44a在其頂表 面具有開口 320的矩陣’每個開口容納與罩幕基板 3〇〇的背面相對的透鏡322。光源324提供經過耦合 到各自透鏡322的輸出光纖326的光。透鏡322提 供充分的聚焦以分辨干涉條紋。干涉檢測器3 2 8,其 可以是輔助條紋計數的感測器或分光計,耦合到與 各個透鏡322耦合的輸入光纖330。開關或多工器 26 1375990 332容許來自每條輸入光纖330的光依次進入到檢 測器328。第25和26圖的裝置有三種模式可以操 作。在第一模式中,給定的一個透鏡322的視野中 的刻蝕深度從干涉條紋之間的間距進行計算。在第 二模式中,檢測器328爲分光計以及給定的一個透 鏡3 22的視野中的刻蝕深度從多波長干涉光譜(對 應第13圖)的較小波長峰間距進行計算。在第=模 式中’多波長干涉光譜在給定的即時時刻檢測並與 對應的刻蝕深度已知的光譜庫3 4 〇相比較β蝕刻速 度分佈從蝕刻深度和經過時間計算。該分佈記錄製 程的蝕刻非均勻性,並輪入到製程控制器丨32。控制 器132.可以通過調整反應器的可調特徵回應,二降 低姓刻速度分佈中的非均勻性。 雖然第25和26圖的實施方式描述爲在平臺4扣 铲頂表面中具有3χ3矩陣的蝕刻深度感測器或者透 仁是在該感測器的矩陣中可以採用任意行和 ^從而矩陣是_矩陣,其中是適宜的整 以推:Γ :貫施方式中,製程控制器132可程式化 分佈資訊?由分光計或感測器130供應的蝕刻速度 ^ '蝕刻速度分佈是否爲中心高或中心低。 ΪΠ制:6。可以通過調整反應器的可調特徵二 k改變在二減小非均勻14。例如’製程控制器60可 變在内和外線目2〇、22之間的RF功率分配。 27 Γ375990 可選地或者另外地’製程控制器60可以改變第6和 7圖中可移動鋁板112的高度。通過反應器可調元件 的連續試驗和錯誤調整,來自平臺44a中的蝕刻深 度感應το件陣列或矩陣的反饋允許製程控制器6〇改 善蝕刻速度分佈均勻性。 雖然前述關於本發明的實施方式,但是在不脫 離本發明的基本範圍的下,本發明承認其他和進一 步的實施方式’並且本發明的範圍由以下的申請專 利範圍確定。 【圖式簡單說明】 爲了以能詳細理解本發明的以上所述的特徵的 方式,將參照實施方式對以上簡要的概述進行更加 詳細的描述’其中部分實施方式在附圖中示出。然 而’應該理解’附圖僅示出了本發明的典型實施方 式’因此不能理解爲限定本發明的範圍,爲 明還承認其料效的實施 第1圖描述了實施罩幕蝕刻製程的電漿反應器; 第2Α圖描述了第1圖的反應器的下部分; 第2Β圖示出了在提升位置中第1圖的反應器的 罩幕支撐底座; 第3圖疋第1圖的反應盗的陰極的俯視圖; 第4和5圖是陰極的一個可選實施方式的俯視 圖和側視圖; 28 Γ375990 第6和7圖是陰極的另一可選實施方式的俯視 圖和側視圖; 第8 ®《具有背面終點_裝置的冑浆反應器 的簡圖; 第9和10圖分別是從罩幕的前側和後側獲得的 光學终點檢測信號的圖; 第11和12圖分別是從罩幕的前側和後側獲得 的干涉條紋光學信號的圖; 第13圖是在第8圖的反應器的一個實施方式中 獲得的多波長干涉譜信號圖; 第14圖示出了基於對應於第1〇圖的總反射的 光強度具有背面終點檢測的第8圖的反應器的實施 方式; 第15圖示出了具有基於對應於第12圖的干涉 條紋計數的背面終點檢測的第8圖的反應器的實施 方式; 第16圖示出了具有基於多波長干涉譜的背面終 點檢測的第8圖的反應器的實施方式; 第17圖示出了具有基於光發射光譜儀(OES) 的背面終點檢測的第8圖的反應器的實施方式; 第18圖示出了具有OES和基於干涉的背面終點 檢測的工作實施例; 第19和20圖分別是第is圖的實施方式的陰極 和設置板的透視圖; 29 1375990 第21圖是第19圖的陰極的橫截面視圖; 第22A和22B圖描述了在採用背面終點檢測的 石英罩幕蝕刻製程中的步驟的次序; 第23A-23E圖描述了在採用背面终點檢測的鉻_ 鉬矽化物-石英罩幕钱刻製程中的步驟的次序; 第24A-24E圖描述了在採用背面終點檢測的鉻_ 石英罩幕蝕刻製程中的步驟的次序; 第25和26圖分別是實施方式的側視圖和俯視 圖,其中從罩幕背面連續測量即時蝕刻速度分佈。 爲了便於理解’盡可能使用相同的附圖標記表 不附圖共有的相同元件。應該理解一個實施方式的 兀件和特徵可以有利地結合到另一實施方式中,而 不用進一步敍述。然而,應該注意,附圖僅示出了 本發明的示例性實施方武,因此不能理解爲對本發 月範圍的限制,因爲本發明承認其他等效的實施方 式。 【主要元件符號說明】 10真空腔室 14上覆頂 16罩幕支撑底座 1 8 a罩幕唇部 22 外線圈天線 26 RF源功率産生器 12 側壁 15 真空泵 18 罩幕 20 内線圈天線 24 RF源功率産生器 28 匹配電路 30 1375990 30 匹配電路 32 34 氣體歧管 36 38 氣體供應 40 42 質量流量控制器 44 44a 中心平臺 44b 46 設備板 46a 48 RF偏功率産生器 50 52 底座環 52a 52b 俘獲環 53 54 支架 55 56 升降桿 60 61 晶圓搬運裝置 62 63 機械葉片臂 64 70 螺絲 72 74 環形薄片 76 78 環形凹槽 80 82 鈦環 84 90 提升組件 92 96 升降膜盒 98 102 中心插入物 104 106 同心環 108 110 活塞 112 114 中空圓柱體 116 118 致動器 120 注入喷嘴 氣體儀錶盤 質量流量控制器 陰極 陰極的底表面 設備板的頂表面 匹配電路 蓋環 層 層 製程控制器 升降伺服系統 狹口閥 環形薄片 環形凹槽 RF墊圈 環形凹槽 提升三腳架 滚球軸承 外部插入物 同心環 鋁板 頂薄膜 凹槽 31 1375990 122 透鏡 124 光纖 124a 光纖的端部 124b 光纖的端部 126 光纖 126a 光纖的端部 126b 光纖的端部 128 光源 130 光接收器 132 光學信號處理器 200 比較邏輯器 202 記憶體 210 石英罩幕基板 212 光阻劑層 214 分隔線 216 開口 218 光 219 光 220 信號調節裝置和類比-數位轉換器 230 透鏡 23 1 凹部 232 透鏡 233 凹部 234 光纖 236 光纖 238 干涉檢測器 242 光纖 244 光纖 249 凹部 250 透鏡 252 光纖 254 分光計 256 OES處理器 260 鉬矽化物層 262 鉻層 264 鉻氧化物抗反射塗 層 266 光阻劑層 268 開口 300 石英罩幕基板 302 鉻層 304 鉻氧化物抗反射射塗層3 06 光阻劑層 320 開口 322 透鏡 32 Γ375990 324 光源 326 輸出光纖 328 干涉檢測器 330 輸入光纖 332 多工器 340 光譜庫 33

Claims (1)

  1. Γ375990 十、申請專利範圍: ^ —種用於處理一正方形形狀的工件 器’包含: —真空腔室,該真空腔室具有— 柱形的側壁;以及 —工件支#底座,該工件支撐底 :陰極的該腔室内,該陰極具有用於支 形狀的工件的一正方形形狀的表面,1 含: ’、 —第二電性特性之一 t間環形構 電性特性不同於該第-電性特性,該中 具有與該内圓盤狀構件相符合的一圓形 方形支撐表面的邊界相—致的一 一外環形構件,# ^ β形槿彼 傅仵,該外環形構件具 秘办構件的該正 ^ . Α 外邊界相符合的一 界及包含:包圍該 第四電性待性的二個層間衣形構件的個 其中該第一電性姓^ 特性,該第二電性特性對:應於金屬 性,及該第三和第四電’7絕緣材: 料的電性待性^ 特性對應於不 令電漿反應 貢部和一圓 邑位在包括 『該正方形 (該陰極包 9 ,該第二 環形構件 邊界及與 方形外邊 與該中間 方形内邊 的第三和 料的電性 的電性特 的介電材 34 Γ375990 2·如申請專利範圍第1項所述的電漿反應器,進一 步包含:一 RF偏壓產生器,該RF偏壓產生器耦合 到該陰極’其中該内構件和該中間構件形成一平 臺’及其中該第三和第四電性特性在該RF偏壓產生 盗的頻率下提供一 RF阻抗,該RF阻抗與在該工件 的存在下穿過該平臺的RF阻抗相匹配。 3.如申請專利範圍第1項所述的電漿反應器,其中 該陰極由一第一金屬形成,該電漿反應器進一步包 含: —設備板,該設備板由該第一金屬形成,該 陰極具有一底表面,以及該設備板具有與該陰極的 該底表面相面對的一頂表面; 多個狹長緊固件,該等狹長緊固件由具有比 該第一金屬高的強度和不同的電性特性的一第二金 屬形成,該等多個狹長緊固件沿著該陰極和設備板 的週邊將該陰極和該設備板連接在一起;及 —薄環層’該薄環層在該陰極和該設備板之 間’由該第二金屬形成並位於該陰極和設備板的週 邊。 •如申請專利範圍第3項所述的電漿反應器,進一 步包含:在該陰極的該底表面的週邊上之一第三金 35 Γ375990 屬的塗層和在該設備板的該頂表面的週邊上之該第 三金屬的塗層,該第三金屬的導電率高於該第—金 屬的導電率。 5. 如申請專利範圍第4項所述的電漿反應器,其中 該第三金屬包含:鎮。 6. 如申請專利範圍第3項所述的電漿反應器’進一 步包含一環形凹槽,該環形凹槽在以下其中一者之 内:在(a)該設備板的該頂表面,(b)該陰極的該底表 面, 該環形凹槽位於其週邊,及在該環形凹槽内的一導 電可壓縮墊圈。 7·如申請專利範圍第3項所述的電漿反應器,其中 該第一金屬包含:铭(aluminum) ’及該第二金屬包 含:欽(titanium) » 8. 如申請專利範圍第3項所述的電漿反應器,其中 該等緊固件係螺紋緊固件β 9. 如申請專利範圍第3項所述的電漿反應器,其中 該陰極為圓盤的形式,該圓盤具有一正方形平臺, 36 Γ375990 該平臺·λ· . 狀相符 八體上與讓正方形形狀的工件的形 合0 1 〇.如申击 叫專利範圍第1項所述的電漿反應器 該外環形播# A ' 手含:一環形支架’該核形支架相鄰 該正方裉由A 乂円邊界而經設置以位於該正方形形狀的工 件的週邊部分下,及一提高部分,該提高部分包圍 該支架和提供一提高表面而經設置以與該正方形形 狀的工件的一頂表面共面。 11 ·如申請專利範圍笙 固第1項所述的電漿反應器,並中 該外環形構件包含:—k 、 上表面,該上表面具有一正 方形的開口,該正方形 t開口對應於該正方形形狀的 工件的形狀,每當該 田/正方形形狀的工件裝設於該陰 極時,該頂表面與該正方形的工件的頂表面共面。 37 1375990 七、指定代表圖: (一) 本案指定代表圖為:第1圖。 (二) 本代表圖之元件符號簡單說明 10 真空腔室 14上覆頂 16罩幕支撐底座 18a罩幕唇部 22 外線圈天線 26 RF源功率產生器 30 匹配電路 34氣體歧管 38 氣體供應 42質量流量控制器 44a中心平臺 46設備板 48 RF偏功率産生器 52底座環 52b俘獲環 54支架 56升降桿 61晶圓搬運裝置 63機械葉片臂 70螺絲 74環形薄片 78環形凹槽 82鈦環 104 外部插入物 12側壁 15真空泵 18罩幕 20 内線圈天線 24 RF源功率産生器 28 匹配電路 32 注入噴嘴 36氣體儀錶盤 40質量流量控制器 44陰極 44b陰極的底表面 46a設備板的頂表面 50 匹配電路 52a蓋環 53層 55層 60製程控制器 62升降伺服系統 64狭口閥 72環形薄片 76環形凹槽 80 RF墊圈 102 中心插入物 八、本案若有化學式時,請揭示最能顯示 發明特徵的化學式: 無 5
TW096126713A 2006-10-30 2007-07-20 Mask etch plasma reactor with cathode providing a uniform distribution of etch rate TWI375990B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/589,337 US8002946B2 (en) 2006-10-30 2006-10-30 Mask etch plasma reactor with cathode providing a uniform distribution of etch rate

Publications (2)

Publication Number Publication Date
TW200822213A TW200822213A (en) 2008-05-16
TWI375990B true TWI375990B (en) 2012-11-01

Family

ID=39047975

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096126713A TWI375990B (en) 2006-10-30 2007-07-20 Mask etch plasma reactor with cathode providing a uniform distribution of etch rate

Country Status (6)

Country Link
US (1) US8002946B2 (zh)
EP (1) EP1918978A3 (zh)
JP (1) JP2008112965A (zh)
KR (1) KR101343948B1 (zh)
CN (1) CN101174096B (zh)
TW (1) TWI375990B (zh)

Families Citing this family (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
KR101585624B1 (ko) 2009-01-13 2016-01-14 주식회사 나노텍 다채널 감지 신호의 시분할 처리가 가능한 공정 이상 모니터링 장치
US20100321671A1 (en) * 2009-06-23 2010-12-23 Marx David S System for directly measuring the depth of a high aspect ratio etched feature on a wafer
US8649016B2 (en) * 2009-06-23 2014-02-11 Rudolph Technologies, Inc. System for directly measuring the depth of a high aspect ratio etched feature on a wafer
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102426421B (zh) * 2011-11-30 2014-08-13 上海华力微电子有限公司 用于等离子体刻蚀的先进工艺控制方法
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
DE102012103425A1 (de) * 2012-04-19 2013-10-24 Roth & Rau Ag Mikrowellenplasmaerzeugungsvorrichtung und Verfahren zu deren Betrieb
JP6084788B2 (ja) * 2012-07-09 2017-02-22 東京エレクトロン株式会社 終点検出方法、プログラム及び基板処理装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN107424901B (zh) 2013-03-12 2019-06-11 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
KR101890634B1 (ko) * 2013-04-19 2018-08-22 캐논 아네르바 가부시키가이샤 이온빔 처리 장치, 전극 어셈블리 및 전극 어셈블리의 세정 방법
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9793269B2 (en) 2014-08-07 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
JP2016134318A (ja) * 2015-01-20 2016-07-25 東京エレクトロン株式会社 プラズマ処理装置のチューナプリセット方法およびプラズマ処理装置
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR102330281B1 (ko) * 2015-06-15 2021-11-25 세메스 주식회사 정전 척 및 이를 포함하는 기판 처리 장치
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11512836B2 (en) 2016-01-26 2022-11-29 Ams Sensors Singapore Pte. Ltd. Multi-mode illumination module and related method
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
AR113649A1 (es) * 2017-12-20 2020-05-27 Haldor Topsoe As Convertidor de flujo axial enfriado
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111492466A (zh) * 2018-11-27 2020-08-04 株式会社日立高新技术 等离子处理装置以及利用其的样品的处理方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4558845A (en) 1982-09-22 1985-12-17 Hunkapiller Michael W Zero dead volume valve
CH675459A5 (zh) 1988-03-09 1990-09-28 Sulzer Ag
JPH0784662B2 (ja) 1989-12-12 1995-09-13 アプライドマテリアルズジャパン株式会社 化学的気相成長方法とその装置
JP3381916B2 (ja) 1990-01-04 2003-03-04 マトソン テクノロジー,インコーポレイテッド 低周波誘導型高周波プラズマ反応装置
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH05130981A (ja) 1991-11-14 1993-05-28 Scala Kk 斑点の定量分析方法
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
JP3124376B2 (ja) 1992-06-17 2001-01-15 株式会社東芝 化合物半導体の気相成長装置
US6557593B2 (en) 1993-04-28 2003-05-06 Advanced Technology Materials, Inc. Refillable ampule and method re same
JP3124204B2 (ja) 1994-02-28 2001-01-15 株式会社東芝 プラズマ処理装置
US5552934A (en) * 1994-03-18 1996-09-03 Spm Corporation Background reflection-reducing plano-beam splitter for use in real image projecting system
US5620523A (en) 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
JPH08316279A (ja) 1995-02-14 1996-11-29 Internatl Business Mach Corp <Ibm> 半導体基体の厚さ測定方法及びその測定装置
DE69510032T2 (de) 1995-03-31 2000-01-27 International Business Machines Corp., Armonk Verfahren und Gerät zur Überwachung des Trockenätzens eines dielektrischen Films bis zu einer gegebenen Dicke
KR0147634B1 (ko) 1995-04-25 1998-11-02 김광호 에어밸브 구동시스템
US5702530A (en) 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5876119A (en) 1995-12-19 1999-03-02 Applied Materials, Inc. In-situ substrate temperature measurement scheme in plasma reactor
US6014137A (en) * 1996-02-27 2000-01-11 Multimedia Adventures Electronic kiosk authoring system
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5879128A (en) 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US6085830A (en) * 1997-03-24 2000-07-11 Fujikura Ltd. Heat sink, and process and apparatus for manufacturing the same
US6286451B1 (en) 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6185839B1 (en) 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6217937B1 (en) 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
JP2000200783A (ja) 1999-01-06 2000-07-18 Hitachi Ltd プラズマ処理装置および方法
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6388382B1 (en) 1999-03-09 2002-05-14 Hitachi, Ltd. Plasma processing apparatus and method
US7537672B1 (en) 1999-05-06 2009-05-26 Tokyo Electron Limited Apparatus for plasma processing
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US20030155079A1 (en) 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
JP3774094B2 (ja) 1999-12-02 2006-05-10 株式会社日立製作所 膜厚、加工深さ測定装置及び成膜加工方法
US6413867B1 (en) 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
US6363882B1 (en) 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
WO2001052302A1 (en) 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US6353210B1 (en) 2000-04-11 2002-03-05 Applied Materials Inc. Correction of wafer temperature drift in a plasma reactor based upon continuous wafer temperature measurements using and in-situ wafer temperature optical probe
WO2001088971A1 (fr) * 2000-05-17 2001-11-22 Tokyo Electron Limited Dispositif de traitement et procede d'entretien du dispositif, mecanisme et procede de montage d'une piece du dispositif de traitement, et mecanisme de verrouillage et procede de blocage du mecanisme de verrouillage
TW492106B (en) 2000-06-20 2002-06-21 Hitachi Ltd Inspection method for thickness of film to be processed using luminous beam-splitter and method of film processing
US6458495B1 (en) 2000-06-30 2002-10-01 Intel Corporation Transmission and phase balance for phase-shifting mask
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6896737B1 (en) 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
JP2002129337A (ja) 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US20020101167A1 (en) 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6902622B2 (en) 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
JP2003017470A (ja) * 2001-06-29 2003-01-17 Dainippon Printing Co Ltd 反応性イオンエッチング装置
US6591850B2 (en) 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20040062874A1 (en) 2002-08-14 2004-04-01 Kim Yong Bae Nozzle assembly, system and method for wet processing a semiconductor wafer
US6828241B2 (en) 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6806653B2 (en) 2002-01-31 2004-10-19 Tokyo Electron Limited Method and structure to segment RF coupling to silicon electrode
JP2003231414A (ja) * 2002-02-08 2003-08-19 Akiyoshi Sugimoto 自動車
JP2005523384A (ja) 2002-04-19 2005-08-04 マットソン テクノロジイ インコーポレイテッド 低蒸気圧のガス前駆体を用いて基板上にフィルムを蒸着させるシステム
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040261712A1 (en) 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
KR20050001831A (ko) 2003-06-26 2005-01-07 삼성전자주식회사 플라즈마 처리 장치
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
JP2005136025A (ja) 2003-10-29 2005-05-26 Trecenti Technologies Inc 半導体製造装置、半導体装置の製造方法及びウエハステージ
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7449220B2 (en) 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
JP3957705B2 (ja) 2004-05-28 2007-08-15 株式会社半導体理工学研究センター プラズマ処理装置
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US20060191638A1 (en) 2005-02-28 2006-08-31 International Business Machines Corporation Etching apparatus for semiconductor fabrication
KR101153161B1 (ko) 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
KR100694666B1 (ko) 2005-08-24 2007-03-13 삼성전자주식회사 원자층 증착 챔버의 에어 밸브 장치
US7460251B2 (en) 2005-10-05 2008-12-02 Taiwan Semiconductor Manufacturing Co. Dimension monitoring method and system
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode

Also Published As

Publication number Publication date
US8002946B2 (en) 2011-08-23
CN101174096B (zh) 2012-12-19
EP1918978A3 (en) 2010-06-23
KR20080039198A (ko) 2008-05-07
TW200822213A (en) 2008-05-16
EP1918978A2 (en) 2008-05-07
JP2008112965A (ja) 2008-05-15
CN101174096A (zh) 2008-05-07
KR101343948B1 (ko) 2013-12-20
US20080100222A1 (en) 2008-05-01

Similar Documents

Publication Publication Date Title
TWI375990B (en) Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US10170280B2 (en) Plasma reactor having an array of plural individually controlled gas injectors arranged along a circular side wall
KR101384277B1 (ko) 가변 프로세스 가스 분포를 갖는 마스크 에칭 플라즈마반응기
JP5584388B2 (ja) 裏面光学センサ及びエッチング分布の多周波数制御を備えたマスクエッチングプラズマリアクタ
US8017029B2 (en) Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US6390019B1 (en) Chamber having improved process monitoring window
US5792376A (en) Plasma processing apparatus and plasma processing method
US7967930B2 (en) Plasma reactor for processing a workpiece and having a tunable cathode
US8961804B2 (en) Etch rate detection for photomask etching
TWI828781B (zh) 減弱干涉端點檢測系統中的內部反射的方法及處理腔室
US8012366B2 (en) Process for etching a transparent workpiece including backside endpoint detection steps
US20080099437A1 (en) Plasma reactor for processing a transparent workpiece with backside process endpoint detection
JP3138693U (ja) ノズルを備えたプラズマリアクタ及び可変プロセスガス分配
JP3138694U (ja) カソードリフトピンアセンブリを備えたマスクエッチプラズマリアクタ
KR200461690Y1 (ko) 캐소드 리프트 핀 어셈블리를 구비한 마스크 식각 플라즈마반응기
CN201348719Y (zh) 一种用于等离子体反应室的升降销
KR200461689Y1 (ko) 노즐들 및 가변 가능한 공정 가스 분배를 구비한 플라즈마반응기
KR100664392B1 (ko) 반도체 소자 제조용 식각 챔버 및 식각 방법
KR20030094904A (ko) 반도체 제조설비의 투시창