JP2008112965A - 均一なエッチング速度分布の陰極を備えたマスクエッチングプラズマリアクタ - Google Patents

均一なエッチング速度分布の陰極を備えたマスクエッチングプラズマリアクタ Download PDF

Info

Publication number
JP2008112965A
JP2008112965A JP2007188633A JP2007188633A JP2008112965A JP 2008112965 A JP2008112965 A JP 2008112965A JP 2007188633 A JP2007188633 A JP 2007188633A JP 2007188633 A JP2007188633 A JP 2007188633A JP 2008112965 A JP2008112965 A JP 2008112965A
Authority
JP
Japan
Prior art keywords
cathode
mask
insert
metal
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007188633A
Other languages
English (en)
Other versions
JP2008112965A5 (ja
Inventor
Richard Lewington
レウイントン リチャード
Michael N Grimbergen
エヌ グリムバーゲン マイケル
Khiem K Nguyen
ケイ ヌグエン キヒエム
Darin Bivens
ビベンス ダリン
Madhavi R Chandrachood
アール チャンドラチュッド マドハビ
Ajay Kumar
クマー アジャイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008112965A publication Critical patent/JP2008112965A/ja
Publication of JP2008112965A5 publication Critical patent/JP2008112965A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

【課題】長方形又は正方形のマスク等のワークをエッチングするためのプラズマリアクタを提供する。
【解決手段】天井と側壁とを有する真空チャンバと、チャンバ内にワークを支持するための表面を有する陰極を含むワーク支持土台とを備え、表面が複数の各自領域を含み、表面の各自領域はそれぞれ異なる電気的特性の各自材料から形成されている。領域はウェハ支持土台の対称軸に対して同心円状に配置可能である。
【選択図】図1

Description

背景
超大規模集積(ULSI)回路の処理に用いるフォトリソグラフィマスクの製造には、半導体ウェハ処理よりもずっと高い程度のエッチング均一性を要する。単一のマスクパターンは、概して、石英マスク上の4平方インチの領域を占める。マスクパターンの画像は、ウェハ上の単一のダイの領域(1平方インチ)に集中され、次にウェハに亘って段階的に進められて各ダイの単一の画像を形成する。石英マスクにマスクパターンをエッチングするのに先立って、マスクパターンはフォトレジストに走査電子線によって書かれており、これはマスクのコストを非常に高くする時間の掛かる処理である。マスクエッチング処理はマスクの表面に亘って均一ではない。更に、電子ビームで書かれたフォトレジストパターンは、それ自体は非均一であり、ウェハ上の加工サイズが45nmの場合には、マスク全体に亘って2−3nmほどの限界寸法(例えば、ライン幅)のばらつきを呈する。(このばらつきは、例えば、すべての測定されたライン幅の3σの偏差である。)フォトレジストの限界寸法におけるそのような非均一性は、典型的には、様々なマスクのソースあるいは顧客に応じて変化する。現在の要件を満たすにはマスクエッチング処理においてこのばらつきを1nm以上にしてはならず、こうすることによりエッチングされたマスクパターンのばらつきが3〜4nmを超えずに留まる。これらの厳格な要件は、ウェハ上でのシャープな画像を達成するための石英マスクパターンにおける回析効果の使用から生じる。現在の技術では、そのような要件を満たすのは困難である。それは、22nmのウェハ加工サイズを持つかも知れない将来の技術にとっては更に困難になるであろう。この問題は、エッチングバイアスの現象によって悪化し、ここでは、マスクエッチングにおけるフォトレジストパターンの消耗は、石英マスク上のエッチングパターンにおけるライン幅(限界寸法)の減少をもたらす。これらの問題は、マスクエッチング処理に特有である。なぜなら、フォトレジストに関する典型的なマスク材料(例えば、石英、クロム、ケイ化モリブデン)のエッチング選択性が典型的には1未満であるので、その結果、マスクフォトレジストパターンがマスクエッチング処理中にエッチングされるからである。
いくつかのマスクパターンは、正確に規定された深さで石英マスクに周期的な開口をエッチングすることを要し、それは、マスクを通じたウェハの露出中の干渉光ビームの非常に微細な位相の位置決めを達成するのに重大である。例えば、位相シフトのマスクの1つの種類においては、各ラインは、クロムラインの各側に露出した薄い石英ラインを伴うクロムラインによって規定され、一側の石英ラインが、クロムラインのもう一方の側上のエッチングされていない石英ラインを通過する光に対して光の180度の位相シフトを提供する正確な深さにエッチングされる。石英におけるエッチング深さを正確に制御するために、エッチング処理は、石英におけるエッチング深さを測定するために、周期的にそれを中断することによって念入りに監視されなければならない。そのような検査は、各々、マスクエッチングリアクタチャンバからマスクを除去すること、フォトレジストを除去すること、エッチング深さを測定すること、その後、経過したエッチング処理時間に基づいた目標深さに達するまでの残りのエッチング処理時間を推定すること、新しいフォトレジストを蒸着すること、電子ビームがレジスト上のマスクパターンを描くこと、マスクエッチングチャンバ内にマスクを再導入すること、そして、エッチング処理を再開することを要する。所望する深さに達するまでの残りのエッチング時間の推定は、エッチング速度が安定及び均一なままであり、したがって、信頼性が低いと想定される。そのような厄介な処理の問題は、低い生産力及び高いコストを含み、同様に、フォトレジストパターンにおける汚染の導入あるいは欠陥の機会の増大を含んでいる。しかしながら、正確に制御されたエッチング深さの要求のために、そのような問題を回避する方法は存在しないようである。
限界寸法のばらつきの小さな許容範囲は、マスク表面に亘るエッチング速度の極めて均一な分布を要する。石英材料における正確なエッチング深さを要するマスクにおいては、2つの限界寸法があり、一方はライン幅であり、他方はエッチング深さであり、両方の種類の限界寸法の均一性は、マスクに亘る均一なエッチング速度分布を要する。エッチング速度分布における非均一性は、ソース電力アプリケータの利用によって或る程度まで低減することができ、それは、プラズマイオン密度の放射状分布を変えることができる(ウェハの上にある内側及び外側コイルアンテナからなる誘導ソース電力アプリケータ等)。しかしながら、そのようなアプローチは、対称な非均一性(即ち、中央が高い、あるいは、中央が低いエッチング速度分布)だけに対処することができる。実際には、エッチング速度分布での非均一性は、非対称(例えば、マスクの1つのコーナにおいて高いエッチング速度等)であることができる。より基本的な制限は、マスクエッチング処理がエッチング速度のそのような中央で極めて低い分布を有する傾向があり、調整可能な特徴(内側及び外側コイルを有するそのような誘導電力アプリケータ)が中央で低い体制からエッチング速度分布を変えることが可能ではないということである。
非均一なエッチング速度分布に関する別の問題は、エッチング速度分布が同じ設計の異なるリアクタの中で大きく変わる傾向があり、重要な部分あるいは消費可能な構成要素が交換(陰極の交換等)される場合には常に同じリアクタ内において広く変わることができるということである。エッチング速度分布は、消費可能な交換に際して予測不能な変化を伴って交換された部分の特徴における小さなばらつきに高度に敏感なようである。
概要
長方形又は正方形のマスク等のワークをエッチングするためのプラズマリアクタを提供する。一態様において、リアクタは天井と側壁とを有する真空チャンバと、チャンバ内にワークを支持するための表面を有する陰極を含むワーク支持土台とを備える。表面は複数の各自領域を含み、それぞれ異なる電気的特性の各自材料から形成されている。領域はウェハ支持土台の対称軸に対して同心円状に配置可能である。一実施形態において、内側領域は導体材料を含み、環状の外側領域は絶縁体を含む。別の実施形態において、領域は異なる電気的誘電率の異なる絶縁材料から成る。
別の態様において、陰極及びその下の表面板は金属から形成される。陰極は下面を有し、表面板は陰極の下面に面する上面を有し、これらは異なる金属のねじにより固締されている。ねじ頭におけるRF非均一性を軽減するために、陰極と表面板との間に異なる金属から形成され陰極と表面板の周縁に位置された薄いリング層を設ける。陰極と表面板との間の導電性の均一性を改善するために、陰極と表面板の対向する表面の周縁に導電性の高いコーティングを施す。
発明の詳細な説明
高RF均一性を有する陰極
我々は、マスクエッチング処理における不均一なエッチング速度分布の原因の1つが、当該マスクエッチング処理が行なわれるプラズマリアクタ中のマスクを保持する支持土台あるいは陰極におけるRF電気の不均一の存在にあることを見出した。RFバイアス電力は、マスク表面のプラズマイオンエネルギを制御するために土台に加えられるのに対して、RFソース電力は、プラズマイオンを生成するために、例えば、上部コイルアンテナに加えられる。RFバイアス電力は、イオンエネルギに影響を与えるマスク表面の電界を制御する。マスク表面のイオンエネルギは、エッチング速度に影響を与えるために、土台におけるRF電気の不均一は、マスク表面全体に亘るエッチング速度の分布における不均一を招く。我々は、土台におけるRF不均一の原因のいくつかを見出した。1つは、アルミニウム土台(陰極)とアルミニウム表面板(facilities plate)とを螺合するチタンねじである。上記のねじは、土台の表面全体に亘って(つまり、マスク表面全体に亘って)電界パターンにおけるノードを形成する。なぜなら、上記ねじの電気的特性は、アルミニウム陰極のそれとは異なるからである。もう1つは、陰極と表面版との導電率の不均一な分布である。表面板と陰極との電気伝導は、主として表面板と陰極の周縁に限定される。これは、少なくとも部分的にはプラズマ処理中の真空圧力による陰極のそりに起因する。この周縁の伝導は、チタンねじの不均一な締め付け及び/又は表面板又は土台の周縁付近の表面仕上げの変化等、数多くの要因により不均一となることがある。我々は、土台全体に亘ってRF電気の均一性を向上するいくつかの特徴を導入することにより、この問題を解決した。第一に、アルミニウム陰極にチタンねじが存在することによるRF電界の不均一又は不連続性を、すべてのチタンねじの頭を被包する連続したチタンリングを陰極の上面周縁に延伸するように配することによって解決しようとするものである。表面の不均一又はチタンねじの不均一な締め付けによる導電率の変化を、高導電性ニッケルメッキを表面板と陰極の対向する表面周縁に施すこと、及び表面板と陰極との間に両者によりその周縁で圧縮されるRFガスケットの導入によって解決しようとするものである。
図1に示すように、マスクにパターンをエッチングするためのプラズマリアクタは、側壁12とその上にある天井14とにより密閉された真空チャンバ10を備え、チャンバ圧力を調整する真空ポンプ15によって真空引きされる。チャンバ10内のマスク支持土台16は、マスク18を支持する。本明細書において後述するように、マスクは、典型的には石英基板からなり、また石英基板の上面に更にクロムやケイ化モリブデン等のマスク薄膜層を備えていてもよい。更に、パターン規定層が存在するが、それはフォトレジスト又はクロム層からなるハードマスクでも良い。他のマスクの種類としては、上記石英基板は、フォトレジストパターンを除いては被覆層を有していない。
プラズマソース電力は、各々RFインピーダンス整合回路28、30を介して各RFソース電力発生器24、26により駆動され、また、上方に位置する内側及び外側コイルアンテナ20、22により加えられる。側壁12は、接地接続されたアルミニウム又は他の金属でもよいのに対して、天井14は、典型的には、コイルアンテナ20、22からチャンバ10内へのRF電力の誘導結合を許容する絶縁材料である。処理ガスは、ガスパネル36からガスマニホールド34を通り、側壁12の上部に等間隔に配置された噴射ノズル32を介して導入される。ガスパネル36は、各々のバルブ又はマスフローコントローラ40を介してマニホールド34に接続された出力バルブ又はマスフローコントローラ42に接続された異なるガス供給源38から構成されることが可能である。
マスク支持土台16は、金属(例えば、アルミニウム)表面板46上に支持される金属(例えば、アルミニウム)陰極44からなる。陰極44は、表面板46における供給口及び排出口(図示せず)により供給又は真空引きされる内部冷却液又は加熱液流路(図示せず)を有する。RFバイアス電力は、RFバイアス電力発生器48により、RFインピーダンス整合回路50を介して上記表面板に加えられる。RFバイアス電力は、表面板46と陰極44との界面を通り、陰極44の上面へと導通する。陰極44は、その上に角形の石英マスクあるいは基板18が支持される中央平坦部44aを有する。平坦部の寸法は、一般にマスク18の寸法と一致している。しかし、後述するように、平坦部44aは若干小さく、その結果、マスク周縁部の小部分あるいは端縁18aが、平坦部44aを短い距離超えて延びている。平坦部44aを囲む土台リング52は、(図2B又は図7に示す楔形又はパイ片状に)リング52の約2/5を形成するカバーリング52aと、残余の3/5を形成するキャプチャリング52bとに分割されている。キャプチャリング52bは、マスク18の端縁18aが載置される棚部54を有する。3本のリフトピン56(図1ではそのうち1本だけを示している)がキャプチャリング52bを持ち上げるが、それにより、マスク18は、マスク18を支持土台16から分離することが望まれる際はいつでも、端縁18aによって上昇させられる。土台リング52は、バイアス電力発生器48の周波数において、石英マスク18とアルミニウム平坦部44aとの組合せにより得られるRFインピーダンスに整合するように選択された異なる電気的特性を持つ材料の層53、55からなる。(カバーリング52aとキャプチャリング52bの両者は、異なる層53、55からなる。)更に、キャプチャリング52の上面は、マスク18の上面と共面をなし、そのために、マスク18の端部を超えて延びる大きく均一な表面が、プラズマ処理中のマスク18の表面全体に亘る均一な電界及びシース電圧を促進する。典型的には、下部リング層55が石英で、上部リング層53がアルミナ等のセラミックの場合にこうした条件が満たされる。処理コントローラ60は、ガスパネル36、RF発生器24、26、48、及びウェハハンドリング装置61を制御する。ウェハハンドリング装置は、リフトピン56に接続されたリフトサーボ62、ロボットブレードアーム63、及びスリットバルブ64をチャンバ10の側壁12中に備えることができる。
等間隔に配された一連のチタンねじ70は、陰極44と表面板46とをその周縁に沿って固定する。アルミニウム陰極/表面板44、46とチタンねじ70との電気的相違のために、ねじ70は、陰極44の上面でRF電界に別々の不均一性を与える。陰極44と表面板46の対向する表面におけるばらつきは、陰極44と表面板46との間にその周縁に沿って導電性の不均一を作り出し、その結果、対応する不均一性がRF電界にも生じる。陰極44は、プラズマ処理中にその中央部がそり上がり易いために(チャンバの真空により)、陰極44と表面板46との主要な電気的接触は、その周縁においてなされる。陰極44と表面板46との間の導電率の感度を(a)様々なチタンねじ70間の締め付けのばらつき、及び(b)表面特性におけるばらつきに低減するために、ニッケル等の高導電材料からなる環状薄膜72が、陰極44の下面44bの周縁に蒸着され、一方、対応する(例えば)ニッケルからなる環状薄膜74が、表面板46の上面46a上に蒸着される。ニッケル膜72、72は、相互に位置合わせがなされ、その結果、2つの環状ニッケル薄膜72、74は、土台44と表面板46の対向する接触面を構成し、両者に非常に均一な分布の導電率を与える。更なる導電率の均一性向上は、環状溝76を陰極44の下面の周縁に沿って形成し、環状溝76中に導電性RFガスケット80を配置することにより実現される。必要に応じて、環状溝76と並ぶ環状溝78を表面板46の上面に形成することが可能なRFガスケット80は、陰極44と表面板46が押圧されてねじ70が締め付けられる際に圧縮される金属製つる巻細線等、適切な従来のものであることが可能である。チタンねじ70の頭に生じ易い電界分布における点状不均一を抑制又は解消するために、連続したチタンリング82が、陰極44の上面の周縁における環状溝84の周囲に配置される。
図2Aは、マスク支持土台16と、その下のリフト部品90を示している。リフト部品90は、空気圧アクチュエータあるいはリフトサーボ94により駆動されるリフトスパイダ92と、該リフトスパイダ92状に載置される3本のリフトピン56とを備えている。リフトピン56は、極度に滑らかで殆ど摩擦のない動作(摩耗から生じる汚染を抑制するため)で、玉軸受98を備えるリフトベローズ96中を導かれる。図2Bは、キャプチャリング52bとマスク18と共に上昇させられた状態の陰極44を示している。マスクが上昇させられた際のカバーとキャプチャリング52a、52bとの分離により形成された間隙により、ロボットブレードは、マスク18へアクセスすることができる。
マスク18の表面全体における極度に中央部で低いエッチング速度分布の問題は、陰極平坦部44aの電気的物性(例えば、誘電率)の分布を変更することにより解決される。これは、一実施形態において、平坦部44aの上面に中央インサート102及び周辺外側インサート104を設けることにより達成され、この2つのインサートは、土台リング52と共に連続した平坦な表面を形成すると共に、電気的に異なる材料からなる。例えば、エッチング速度分布が極端に中央部で低くなる傾向を抑制するために、中央インサート102は導電性材料(例えば、アルミニウム)のものであってもよく、一方、外側インサート104は絶縁材料(例えば、アルミナのようなセラミック)のものであることが可能である。この導電性の中央インサート102は、RF電流に更に低いインピーダンス経路を与え、マスク18の中央部でイオンエネルギとエッチング速度とを増大させる一方、絶縁性外側インサート104は、より高いインピーダンスをもたらし、マスク18の周辺のエッチング速度を減少させる。この組合せがエッチング速度分布を改善し、それをほぼ均一にさせる。この特徴によって、エッチング速度分布の微細な調整が、内側及び外側コイルアンテナ20、22に加えられる相対RF電力レベルを調整することにより可能となる。均一なエッチング速度分布を達成するのに要求されるプラズマイオン密度の放射状分布の変化は、はるかに少ない量にまで減少され、それは、均一なエッチング速度分布を得るための内側及び外側コイル20、22間のRF電力配分の能力の範囲内である。図3は、内側及び外側インサート102、104の上面図である。他の実施形態において、インサート102、104は、異なる誘電率(電気的誘電率)を有する絶縁体であることが可能である。図4及び図5は、この概念の詳細を示し、4個の累進的に異なる電気的物性を持つ同心円状リング102、104、106、108がエッチング速度分布をより均一にするために用いられている。図6及び図7は、陰極44のRF電気的物性の分布のリアルタイム調整性を提供する他の実施形態を示している。プランジャ110は、陰極44の中央内部の中空シリンダ114内で、可動アルミニウム板112の軸方向位置を調整する。アルミニウム板112は、アルミニウム平坦部44aの残部に電気的に接触している。絶縁体(例えば、セラミック)の上部フィルム116は、陰極44の上部を覆うことができる。アルミニウム板112が押されてシリンダ114の上部へ近づくにつれ、陰極44の中央領域を通じて電気インピーダンスは減少し、それによりマスク18の中央でエッチング速度を増加させる。反対に、マスク中央のエッチング速度は、アルミニウム板112がシリンダ114内でマスク18から遠ざかるように下方へ移動されるにつれて減少する。プランジャ110の軸方向の動きを調整するアクチュエータ118は、処理コントローラ60(図1参照)により、均一性を最大限にするように又は不均一を補正するようにエッチング速度分布を調整するように制御されることができる。
マスク裏面におけるエッチング速度の監視と終了点検出
マスク上のエッチング深さ又は微小寸法を測定するためのエッチング処理の周期的中断による製造コストの上昇は、陰極44及びマスク又は基板18の裏面を介した光学的検出を用いることで抑制又は解消される。フォトレジストに対する低いエッチング選択性により、こうした周期的測定を行なうためにエッチング処理を中断することが必要であった。一般に、マスク材料は、フォトレジストよりも遅い速度でエッチングされる。この問題は、マスク上にフォトレジストの厚い層を蒸着することにより解決が図られるが、レジストの高いエッチング速度により、フォトレジスト表面には不規則な凹凸又は粗面ができる。この凹凸は、フォトレジストを通過する光に影響を与え、その結果、いかなる微小寸法やエッチング深さの光学的測定にもノイズを与えてしまう。そのために、フォトレジストは、ノイズのない光学的測定を確保するために周期的測定ごとに一時的に除去されるので、中断されたマスクエッチング処理を再開する前には、フォトレジストの再蒸着やレチクルパターンのフォトレジストへの再書き込みが必要となる。
図8に示すマスクエッチングプラズマリアクタは、このような困難を回避するもので、陰極44中に設けられた裏面光学測定装置を用いることにより、全エッチング処理中の連続的な微小寸法の観察又はエッチング深さの測定を、マスク又は基板18をマスク支持土台16上に載置したままで行なうことが可能である。裏面測定装置は、典型的には、石英製のマスク基板18の光学的に透明な性質を利用するものである。その上に蒸着されることが可能である薄膜(クロム又はケイ化モリブデン等)は不透明であることが可能であるが、マスク18のレチクルパターンを規定するパターン状開口の形成は、光学的に検出される。このような層により反射又はこのような層を透過する光の強度の変化は、陰極44を介してマスク裏面で観察されることが可能である。この観察は、エッチング処理終了点検出を行なうために用いられることが可能である。石英材料をエッチングする際には、マスク裏面で陰極44を介して観察される光学干渉を、エッチング処理中にエッチング深さ測定をリアルタイムで行なうために検出することが可能である。利点の1つとしては、マスク裏面から検出される画像又は光学信号がフォトレジストのノイズにより影響されないこと、又は、少なくとも、マスク18の上面(フォトレジスト側)からこのような測定を行なう試みと比較するとその影響が非常に少ないことがある。
これらの目的のために、図8のリアクタは、陰極44の上面においてマスク又は基板18の裏面に対向する光学軸を持つレンズ122を収容する凹部120を備える。一対の光ファイバ124、126は、その径がレンズ122に比べて小さく、且つ、レンズ122に接近あるいは接触する端部124a、126aを有しており、また、両者は、レンズ122の光学軸において隣り合うよう並置されている。図8に示す光ファイバ124、126の各々は、実際には小さな複数の光ファイバの束であることが可能である。光ファイバ124は、その他端124bが光源128に接続されている。光源は、マスク18が透明となる波長の光、典型的には石英マスクに対しては可視光を発する。干渉深さ測定の場合、光源128の波長スペクトルは、マスク18のレチクルパターンにおける局所的コヒーレンスを容易にするように選択される。約45nmのエッチングされたマスク構造中の周期的形状(又は1ミクロン未満の周期的形状サイズ)に対しては、光源が可視光スペクトル中の光を放射するときに、この条件が満たされる。光ファイバ126は、その他端126bが光受容器130に接続されている。単純な終了点検出の場合、光受容器130は、単に光の強度を検出すればよい。微小寸法(例えば、線幅)測定の場合、光受容器130は、レンズ122の視野中にあるエッチングされた配線の画像を検出してもよく、それから線幅を判断することができる。エッチング深さ測定の場合、光受容器130は、干渉パターン又は干渉縞を検出してもよく、それによりエッチング深さが判断される(つまり、干渉又は回折パターンから推定又は干渉縞の計数から演算される)。他の実施形態において、光受容器130は、多数の波長の干渉測定を行なうための分光計を備えることが可能であり、それによりエッチング深さが推定又は演算されることが可能である。このような測定のために、処理コントローラ60は、光受容器からの光学信号を処理することができる光学信号プロセッサ132を備えている。このような光学信号処理は、以下のいずれかを(特定の形態に応じて)含むことが可能である。周辺光の強度の変化からエッチング処理終了点検出を行なう;光受容器130によって検出される2次元画像から微小寸法を測定する;干渉縞を計数することによりエッチング深さを演算する;多数の波長の干渉スペクトルからエッチング深さを測定する。この場合、光受容器130は、分光計からなる。これに代えて、このような分光計は、プラズマから出射され透明マスク18を透過する光を用いてウェハ裏側からの光学発光分光法によるエッチング処理終了点検出を行なうために採用されてもよく、その場合、光源128は、用いられない。
処理コントローラ60は、光学信号プロセッサ132からの処理終了点検出情報(又はエッチング深さ測定情報)に応答し、RF発生器24、26、48、及びウェハハンドリング装置61を含むプラズマリアクタの様々な要素を制御する。典型的には、処理コントローラ60は、エッチング処理終了点に達したときに、エッチング処理を終了し、マスク18が土台16から除去されるようにする。
図9は、クロムエッチング処理(石英マスク表面上のクロム薄膜がマスクのレチクルパターンに応じてエッチングされる)中の時間に対するマスクの上面(フォトレジスト塗布面)から検出した周辺反射光強度の関係を示すグラフである。図9に示される強度の大きな変動は、フォトレジスト層の上面の凹凸により引き起こされるノイズを表わしている。破線は、ノイズ中に隠された階段関数信号を表わし、その階段関数は、クロムエッチング処理終了点と一致する。図10は、図8のリアクタ中の陰極44を介してウェハ裏面から行なった同様の測定のグラフであり、光受容器130が反射光レベルを検出している。フォトレジスト由来のノイズは、大きく減少し、その結果、終了点規定段階関数が光学データ中に明確に現れている。階段関数の端部は、エッチング処理がクロム薄膜の底部に達する際に反射光強度が下降する遷移点を表わし、その点では、クロムの反射表面積が急峻に減少している。
図11及び図12は、時間に対する(即ち、空間に対する)光強度のグラフであり、図12においては、光受容器130によって検出されるように、光強度の周期的ピークが干渉縞に対応し、その間隔は、エッチング深さ、あるいは透明な石英マスク基板18にエッチングされる近接した周期的間隔を持つ形状の異なる表面間の厚さの差を決定している。図11は、マスク上面からフォトレジストを介して検出された強度を示し、干渉縞検出を阻害するフォトレジスト由来の大きなノイズが含まれているのを示している。図12は、図8の光受容器130によってマスク裏面を介して検出された強度を示し、フォトレジスト由来のノイズは、実質的に存在しないことを示している。
図13は、光受容器130が分光計からなり光源128が複数の波長スペクトルを発生する場合の波長に対する光強度の関係を表わすグラフである。図13のグラフに示す強度スペクトラムの挙動は、干渉効果が透明マスク18中に周期的間隔で配置されたサブミクロン形状中の異なる深さを持つ表面から反射される光の間で生じる場合に典型的なものである。低波長においては、ピークは、比較的周期的で等間隔であり、ここでは、主要な光効果は干渉である。より高い波長では、マスク18中の周期的形状間の局所的コヒーランスは、さほど強くなく、そのため、回折効果は、波長が増大するにつれて大きくなり、図13に示すように、高波長での強度の挙動は、より不等間隔でより複雑となる。図13中のピークの間隔は、特に低波長において、エッチング深さの関数であり、エッチング深さは、ピーク間隔から推測される。
図14は、図8のリアクタの一実施形態を例示しており、ここでは、光受容器130は、周辺光強度検出器であり、光学信号プロセッサ132は、図10の終了点検出グラフに対応する反射光強度全体における大きな屈曲点(階段関数)を探すようにプログラムされている。この実施形態の光源128は、適切なものであればいかなる光源でもよい。これに代えて、光源128は、省略されてもよく、その場合、光センサ130は、単に透明マスク又は基板18を透過するプラズマからの光に応答する。
図15は、図8のリアクタの一実施形態を例示しており、ここでは、光受容器130は、干渉縞を解像するレンズ122よって充分にフォーカスされる干渉縞検出器であり、光学信号プロセッサ132は、透明石英マスク18のエッチング深さを演算するために(例えば、図12に示されるような時間に対する強度のデータから)干渉縞を計数するようにプログラムされている。この演算により、実質的に即時にエッチング深さが演算され、ロジック200によりメモリ202に記憶されているユーザ規定による目標深さと比較される。ロジック200は、記憶されている深さの値と測定された深さの値との一致を検出するために、従来の数的一致又は最小化ルーチンを用いることができる。一致によって、ロジック200は、処理コントローラ60に対するエッチング終了点のフラグを立てる。
図16は、図8のリアクタの一実施形態を示し、ここでは、図13の干渉分光法が透明石英マスク又は基板18のエッチング深さを測定又は判断するために用いられる。この場合、光源128は、可視領域の多数の波長又はスペクトラム(約数百ナノメートル以下の周期的なマスク形状のサイズに対して)を出射する。光受容器130は、分光計である。複合信号調整器兼アナログ−デジタル変換器220は、分光計130により収集されたスペクトル情報(図13のグラフに対応する)を光学信号プロセッサ132が取り扱うことができるデジタルデータに変換する。終了点検出を行なうことができる1つのモードでは、上述したように、エッチング深さを図13に示すデータの低波長領域中の周期的ピーク間の間隔から演算する。比較ロジック200は、瞬時に測定されたエッチング深さをメモリ202に記憶されたユーザが規定した目標深さと比較し、エッチング処理終了点に達したか否かを判断することができる。他のモードでは、比較ロジック200は、充分にロバストであり、分光計130の即時出力を表わすデジタル化された波長スペクトル(図13のグラフに対応)と所望のエッチング深さに対応する周知のスペクトルとを比較できる。この周知のスペクトラムは、メモリ202に記憶されることが可能である。比較ロジック200により検出される、測定されたスペクトルと記憶されているスペクトラムとの一致又は近似的一致により、エッチング処理終了点フラグが処理コントローラ60に送られることになる。
図17は、図8のリアクタの一実施形態を示し、ここでは光受容器130は、チャンバ中のプラズマによって出射される光学的放射からの輝線を区別可能で、光学発光分光(OES)を行なう光学発光分光計である。プロセッサ132は、エッチングされる層中の材料を示す化学種に対応する選択された光学線の強度を追跡する(又はその消失を検出する)ようにプログラムされたOESプロセッサである。所定の移行(例えば、クロムエッチング処理中のOESスペクトラムにおけるクロム波長線の消失)の際には、プロセッサ132は、処理コントローラ60にエッチング処理終了点検出フラグを送る。
図18は、我々が構成した一実施形態を示し、ここでは、間隔を置いた凹部231、233中に各々一対のレンズ230、232が設けられており、レンズ230、232は、干渉縞を解像するようフォーカスされており、集光された光は、各々レンズ230、232に対向又は接触する光ファイバ234、236によって伝送される。光ファイバ234、236は、干渉検出器238(これは、干渉縞検出器あるいは分光計であることが可能である)に接続され、検出器238は、処理コントローラ60に接続された出力を有している。レンズ230、232は、光源240から光ファイバ242、244を介して光を受ける。この光は、マスク18の上面からレンズ230、232に向かって反射され、光ファイバ234、236によって検出器238に伝送される。更に、図18の実施形態は、光ファイバ252を介してOES分光計254に接続される第3のレンズ250を収容する第3の凹部249が陰極表面に備えられている。OESプロセッサ256は、OES分光計254の出力を処理し、終了点検出を実行し、処理コントローラ60にその結果を送信する。図18の実施形態の陰極44が図19に示されており、ここでは、レンズ230、232、250を各々収容する3つの凹部231、233、249が示されている。図20は、表面板46中にレンズ230、232、250をサポートする光学機器を収容するための対応する孔260、261、262を示している。図21は、土台16中の光ファイバとレンズとの接続を示す断面図である。
図16、図17、図18では、分光計130(図16及び図17)及び254(図18)が用いられているが、分光計130又は254は、所定の波長用に調整された一又は複数の光学波長フィルタで置き換えられてもよい。上記の各光学波長フィルタは、信号強度を増強するために、光電子増倍管と組み合わせることが可能である。
裏面終了点検出マスクエッチング処理
図22A及び図22Bは、マスクの石英材料中にレチクルパターンをエッチングする処理を示している。図22Aにおいて、石英マスク基板210は、間隔を空けた線214及びフォトレジスト層212中に定められた開口216による周期的構造を有するフォトレジスト層212によって覆われている。図15及び図16のリアクタにおいては、CHF+CF+Arの石英エッチング処理ガスがチャンバ10内に導入され、電力がRF発生器24、26、48により加えられ、また、石英材料は、フォトレジスト層212中に形成された開口216の中でエッチングされる。石英中のエッチング深さは、石英基板210のエッチングされた上面から反射された光218とエッチングされていない上面から反射された光219との干渉により連続的に測定される。エッチング処理は、所望のエッチング深さに達すると即時に中断される(図22A)。そして、所望のマスクを形成するためにフォトレジストが除去される(図22B)。
図23A〜図23Eは、下層石英マスク基板210、ケイ化モリブデン層260(モリブデン−酸化シリコン窒化物[molybdenum oxy−silicon nitride])、クロム層262、酸化クロム反射防止コーティング264及びフォトレジスト層266からなると共に、フォトレジスト層266に開口268が形成された3層マスク構造(図23A)をエッチングする処理を示している。図23Bのステップにおいて、クロム層262及び反射防止コーティング264は、単純な反射終了点検出を有するプラズマリアクタチャンバ(図14のチャンバ)又はOES終了点検出を有するチャンバ(図17のチャンバ)中で、Cl+O+CF等のクロムエッチング処理ガスを用いてエッチングされる。フォトレジスト層266が除去される(図23C)。その後、図23Dに示すように、ケイ化モリブデン層260が、SF+Cl等のケイ化モリブデンのエッチング剤である処理ガスを用い、クロム層262をハードマスクとして用いてエッチングされる。このステップは、図14又は図17のチャンバのような単純な周辺反射による又はOES終了点検出による終了点検出を行なうプラズマリアクタ中で行なわれる。図23Eにおいて、クロム層262及び酸化クロム反射防止コーティング264は、CH+CF+Ar等のクロムエッチング処理ガスを用いて除去される。このステップは、エッチング深さ測定を伴わない単純な終了点検出を行なう図14又は図17のリアクタを用いて行なわれる。これにより、レチクルパターンが規定されたケイ化モリブデンの被覆層を有する石英マスク基板が残される。
図24A〜図24Eは、透明石英マスク上に形成され、周期的間隔で石英が露出する空間の横に位置する周期的なクロム線からなるバイナリマスクを製造する処理を示しており、上記石英が露出する空間は、1つおきに透過光が所望の角度(例えば、180度)で位相偏移する深さまでエッチングされている。図24Aは、石英マスク基板300、クロム層302、酸化クロム反射防止コーティング304、及びフォトレジスト層306からなる初期構造を示している。図24Bのステップでは、クロム及び酸化クロム層302、304は、図14又は図17のチャンバのようなリアクタチャンバ中のCl+O+CFの処理ガスの中でエッチングされる。図24Cのステップでは、フォトレジスト層306が除去され、その後、石英マスク基板300の露出部分が図24Dに示すようにCHF+CF+Arの石英エッチング処理ガス中でエッチングされる。図24Dの石英エッチングのステップは、図15又は図16のチャンバのような、石英マスク基板300中のエッチング深さを検出又は監視できるリアクタチャンバの中でエッチングされる。このエッチング処理中に、即時エッチング深さが連続的に監視され、エッチング処理は、マスク300上で目標エッチング深さに達するとすぐに中止される。最終結果物を図24Eに示している。
マスク表面に亘るエッチング速度分布の連続的監視
図25及び図26は、図1のウェハ支持土台16の一実施形態を示し、ここでは、マトリクス状の裏面エッチング深さ検出素子(レンズ及び光ファイバ)が陰極44の上面に設けられており、それによりエッチング処理中のマスク又は基板の全表面に亘るエッチング速度分布又はエッチング深さ分布の瞬間的画像又はサンプルが、エッチング処理を中断することなくまたマスク基板を乱すことなく、連続的に得ることができる。アルミニウム平坦部44aは、その上面にマトリクス状の開口320を有し、各開口は、マスク基板300の裏面に対向するレンズ322を保持している。光源324は、各々レンズ322と接続された出力光ファイバ326を介して光を供給する。レンズ322は、干渉縞を解像するのに充分なフォーカシングを与える。干渉検出器328は、干渉縞の計数を容易にするセンサ又は分光計でもよく、各々レンズ322と接続された入力光ファイバ330と接続されている。スイッチ又はマルチプレクサ332は、各入力光ファイバ330から検出器328へ光が順に入るようにする。図25及び図26の装置が動作するモードには、3種類のモードがある。第1のモードでは、所定の1つのレンズ322の視野中のエッチング深さが干渉縞の間隔から演算される。第2のモードでは、検出器328は、分光計であり、所定の1つのレンズ322の視野中のエッチング深さが、多数の波長の干渉スペクトルでの低波長ピーク間隔(図13に対応)から演算される。第3のモードでは、多数の波長の干渉スペクトルが一定の時間に検出され、対応するエッチング深さが分かっているスペクトラムのライブラリ340と比較される。エッチング速度分布は、エッチング深さと経過時間から演算される。この分布は、処理のエッチング不均一を記録し、処理コントローラ132に送られる。コントローラ132は、リアクタの調整可能な特性を調整することによって応答し、エッチング速度分布の不均一性を減少することができる。
図25及び図26の実施形態では、3×3のマトリクス状のエッチング深さセンサ又はレンズ322が平坦部44aの上面に備えられているが、こうしたセンサのマトリクスにはいかなる数の行及び列が採用されてもよく、そのために、マトリクスはn×mのマトリクスであり、ここで、m及びnは適切な整数である。
一実施形態において、処理コントローラ132は、エッチング速度分布が中央部で高いか中央部で低いかを(分光計又はセンサ130により供給されるエッチング速度分布情報から)推定するようにプログラムされることが可能である。処理コントローラ60は、この情報にリアクタの調整可能な特性を調整することによって応答し、不均一性を減らすことができる。例えば、処理コントローラ60は、内側及び外側コイル20、22間のRF電力の配分を変更することが可能である。これに代えて、あるいは、これに加えて、処理コントローラ60は、図6及び図7のリアクタ中の可動アルミニウム板112の高さを変更することが可能である。平坦部44a中のエッチング深さ検出素子のアレイ又はマトリクスからのフィードバックによって、処理コントローラ60は、エッチング速度分布の均一性をリアクタの調整可能要素の連続的な試行錯誤調整により改善することができる。
以上の説明は、本発明の実施形態のいくつかに向けられているが、その基本的範囲から逸脱することなく本発明の他の及び追加的な形態が実施されてもよく、その範囲は特許請求の範囲に基づいて定められる。
本発明の上記記載の特徴が詳細に理解されることができるように、上記で簡潔に要約された本発明のより具体的な説明は、その実施形態への参照によって理解されることが可能であり、それは添付図面に例示されている。しかしながら、添付図面は本発明の典型的な実施形態を図示するに過ぎず、本発明はその他の同等に効果的な実施形態も認め得るため本発明の発明を制限すると捉えられるものではない。
マスクエッチング処理を行なうプラズマリアクタを示す図である。 図1のリアクタの下部を示す図である。 上昇させられた位置の図1のリアクタのマスク支持土台を示す図である。 図1のリアクタの陰極の平面図である。 陰極の他の実施形態の平面及び側面図である。 陰極の他の実施形態の平面及び側面図である。 裏面終了点検出装置を有するプラズマリアクタの簡略図である。 マスクの上面及び裏面から各々得られる光学終了点検出信号のグラフである。 マスクの上面及び裏面から各々得られる干渉縞光学信号のグラフである。 図8のリアクタの一実施形態において得られる多波長干渉スペクトル信号のグラフである。 図10に対応する、全体的な反射光強度に基づく裏面終了点検出を伴う図8のリアクタの実施形態を示す図である。 図12に対応する、干渉縞計数に基づく裏面終了点検出を伴う図8のリアクタの実施形態を示す図である。 多波長干渉分光測定に基づく裏面終了点検出を伴う図8のリアクタの実施形態を示す図である。 発光分光(OES)に基づいた裏面終了点検出を伴う図8のリアクタの実施形態を示す図である。 OES及び干渉の両方に基づいた裏面終了点検出を有する例を示す図である。 図18の実施形態の陰極及び表面板の各々の斜視図である。 図19の陰極の断面図である。 裏面終了点検出を利用する石英マスクエッチング処理におけるステップのシーケンスを示す図である。 裏面終了点検出を利用するクロム−モリブデンシリサイド−石英マスクエッチング処理におけるステップのシーケンスを示す図である。 裏面終了点検出を利用するクロム−石英マスクエッチング処理におけるステップのシーケンスを示す図である。 リアルタイムのエッチング速度分布がマスクの裏面から連続的に測定される実施形態の側面及び平面図である。
理解を容易にするために、図面間で共通する同一の要素を指定するためには可能な限り同一の参照符号が使用されている。一実施形態の要素及び構成が、更なる記述なしに、他の実施形態に有益に組み込まれることが可能であると意図されている。しかしながら、添付図面は本発明の典型的な実施形態だけを例示し、従ってその範囲を限定するものとは解釈されず、本発明に対して他の等しく有効な実施形態を認めることが可能である。

Claims (20)

  1. ワークを処理するプラズマリアクタであって、
    天井と側壁とを有する真空チャンバと、
    前記チャンバ内にワークを支持するための表面を有する陰極を含むワーク支持土台とを備え、前記陰極が異なる電気的特性の複数の各自領域を備えるリアクタ。
  2. 前記領域が前記ウェハ支持土台の対称軸に対して同心円状に配置されている請求項1記載の装置。
  3. 前記各自領域が前記陰極の前記表面上において異なる電気的特性の各自材料から形成されており、前記領域が内側領域と環状の外側領域とを含み、前記内側領域の材料が導体を含み、前記外側領域の材料が絶縁体を含む請求項2記載の装置。
  4. 前記各自材料が異なる電気的誘電率の絶縁材料を含む請求項2記載の装置。
  5. 前記陰極が上面を有するアルミニウム部材を備え、前記表面の前記複数の各自領域が各自材料から形成された各自のインサートを含む請求項1記載の装置。
  6. 前記各自のインサートが同心円状である請求項5記載の装置。
  7. 前記各自のインサートが導電性材料から形成された第1インサートと、非導電性材料から形成された第2インサートを含む請求項5記載の装置。
  8. 前記各自のインサートが異なる電気的誘電率の各自材料を含む請求項5記載の装置。
  9. 前記第1インサートが円盤状の中央インサートを含み、前記第2インサートが環状の外側インサートを含む請求項7記載の装置。
  10. 前記陰極が第1金属から形成され、
    前記第1金属から形成された表面板を備え、前記陰極が下面を有し、前記表面板が前記陰極の下面に面した上面を有し、
    前記第1金属より高い強度と異なる電気的特性を有する第2金属から形成され、前記陰極と前記表面板とを前記陰極と表面板の周縁に沿って連結するための複数の細長い固締具と、
    前記陰極と前記表面板との間の、前記第2金属から形成され前記陰極と表面板の周縁に位置された薄いリング層と、
    前記陰極の前記下面の周縁上の第3金属のコーティングと、前記表面板の前記上面の周縁上の前記第3金属のコーティングとを更に備え、前記第3金属の導電性が前記第1金属の導電性より高い請求項1記載の装置。
  11. 前記陰極が、
    その内部に形成された中空空間と、
    前記中空空間内に在り、前記各自領域の1つに対応する可動式金属要素と、
    前記金属要素と前記支持面との間の距離を制御するための機構とを備える請求項1記載の装置。
  12. 前記中空空間が、前記支持面にカバー層を更に備え前記中空空間をシールする前記陰極内を延びる孔を含む請求項11記載の装置。
  13. 前記カバー層が絶縁材料を含む請求項12記載の装置。
  14. 前記陰極が円盤体と前記メイン表面から前記天井に向かって延びる角形平坦部とを備え、前記支持面が前記天井に面する前記平坦部の表面を含み、前記中空空間が少なくとも部分的に前記平坦部内に延びている請求項11記載の装置。
  15. 前記角形平坦部が角形マスクを支持するように適合されている請求項14記載の装置。
  16. 前記支持表面が複数の各自領域を含み、前記表面の前記各自領域が異なる電気的特性の各自材料から形成されている請求項11記載の装置。
  17. 前記表面の前記各自領域が前記ウェハ支持土台の対称軸に対して同心円状に配置されている請求項16記載の装置。
  18. 前記表面の前記各自領域が内側領域と環状の外側領域とを含み、前記内側領域の材料が導体を含み、前記外側領域の材料が絶縁体を含む請求項17記載の装置。
  19. 前記各自材料が異なる電気的誘電率の絶縁材料を含む請求項16記載の装置。
  20. 前記陰極が上面を有するアルミニウム部材を備え、前記表面の前記複数の各自領域が各自材料から形成された各自のインサートを含む請求項16記載の装置。
JP2007188633A 2006-10-30 2007-07-19 均一なエッチング速度分布の陰極を備えたマスクエッチングプラズマリアクタ Pending JP2008112965A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/589,337 US8002946B2 (en) 2006-10-30 2006-10-30 Mask etch plasma reactor with cathode providing a uniform distribution of etch rate

Publications (2)

Publication Number Publication Date
JP2008112965A true JP2008112965A (ja) 2008-05-15
JP2008112965A5 JP2008112965A5 (ja) 2010-09-02

Family

ID=39047975

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007188633A Pending JP2008112965A (ja) 2006-10-30 2007-07-19 均一なエッチング速度分布の陰極を備えたマスクエッチングプラズマリアクタ

Country Status (6)

Country Link
US (1) US8002946B2 (ja)
EP (1) EP1918978A3 (ja)
JP (1) JP2008112965A (ja)
KR (1) KR101343948B1 (ja)
CN (1) CN101174096B (ja)
TW (1) TWI375990B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014017359A (ja) * 2012-07-09 2014-01-30 Tokyo Electron Ltd 終点検出方法、プログラム及び基板処理装置
KR101585624B1 (ko) 2009-01-13 2016-01-14 주식회사 나노텍 다채널 감지 신호의 시분할 처리가 가능한 공정 이상 모니터링 장치

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US20100321671A1 (en) * 2009-06-23 2010-12-23 Marx David S System for directly measuring the depth of a high aspect ratio etched feature on a wafer
US8649016B2 (en) * 2009-06-23 2014-02-11 Rudolph Technologies, Inc. System for directly measuring the depth of a high aspect ratio etched feature on a wafer
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102426421B (zh) * 2011-11-30 2014-08-13 上海华力微电子有限公司 用于等离子体刻蚀的先进工艺控制方法
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
DE102012103425A1 (de) * 2012-04-19 2013-10-24 Roth & Rau Ag Mikrowellenplasmaerzeugungsvorrichtung und Verfahren zu deren Betrieb
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10008368B2 (en) * 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
WO2014170935A1 (ja) * 2013-04-19 2014-10-23 キヤノンアネルバ株式会社 イオンビーム処理装置、電極アセンブリ及び電極アセンブリの洗浄方法
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9793269B2 (en) 2014-08-07 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
JP2016134318A (ja) * 2015-01-20 2016-07-25 東京エレクトロン株式会社 プラズマ処理装置のチューナプリセット方法およびプラズマ処理装置
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR102330281B1 (ko) * 2015-06-15 2021-11-25 세메스 주식회사 정전 척 및 이를 포함하는 기판 처리 장치
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017131585A1 (en) 2016-01-26 2017-08-03 Heptagon Micro Optics Pte. Ltd. Multi-mode illumination module and related method
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102306371B1 (ko) * 2018-11-27 2021-09-30 주식회사 히타치하이테크 플라스마 처리 장치 및 그것을 이용한 시료의 처리 방법
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003017470A (ja) * 2001-06-29 2003-01-17 Dainippon Printing Co Ltd 反応性イオンエッチング装置
JP2003519907A (ja) * 1999-12-30 2003-06-24 ラム リサーチ コーポレーション 電極アッセンブリ
JP2004363552A (ja) * 2003-02-03 2004-12-24 Okutekku:Kk プラズマ処理装置及びプラズマ処理装置用の電極板及び電極板製造方法

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4558845A (en) 1982-09-22 1985-12-17 Hunkapiller Michael W Zero dead volume valve
CH675459A5 (ja) 1988-03-09 1990-09-28 Sulzer Ag
JPH0784662B2 (ja) 1989-12-12 1995-09-13 アプライドマテリアルズジャパン株式会社 化学的気相成長方法とその装置
JP3381916B2 (ja) 1990-01-04 2003-03-04 マトソン テクノロジー,インコーポレイテッド 低周波誘導型高周波プラズマ反応装置
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH05130981A (ja) 1991-11-14 1993-05-28 Scala Kk 斑点の定量分析方法
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
JP3124376B2 (ja) 1992-06-17 2001-01-15 株式会社東芝 化合物半導体の気相成長装置
US6557593B2 (en) 1993-04-28 2003-05-06 Advanced Technology Materials, Inc. Refillable ampule and method re same
JP3124204B2 (ja) 1994-02-28 2001-01-15 株式会社東芝 プラズマ処理装置
US5552934A (en) * 1994-03-18 1996-09-03 Spm Corporation Background reflection-reducing plano-beam splitter for use in real image projecting system
US5620523A (en) 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
JPH08316279A (ja) 1995-02-14 1996-11-29 Internatl Business Mach Corp <Ibm> 半導体基体の厚さ測定方法及びその測定装置
EP0735565B1 (en) 1995-03-31 1999-06-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
KR0147634B1 (ko) 1995-04-25 1998-11-02 김광호 에어밸브 구동시스템
US5702530A (en) 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5876119A (en) 1995-12-19 1999-03-02 Applied Materials, Inc. In-situ substrate temperature measurement scheme in plasma reactor
US6014137A (en) * 1996-02-27 2000-01-11 Multimedia Adventures Electronic kiosk authoring system
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5879128A (en) 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US6085830A (en) * 1997-03-24 2000-07-11 Fujikura Ltd. Heat sink, and process and apparatus for manufacturing the same
US6286451B1 (en) 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6185839B1 (en) 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6217937B1 (en) 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
JP2000200783A (ja) 1999-01-06 2000-07-18 Hitachi Ltd プラズマ処理装置および方法
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6388382B1 (en) 1999-03-09 2002-05-14 Hitachi, Ltd. Plasma processing apparatus and method
DE60043505D1 (de) 1999-05-06 2010-01-21 Tokyo Electron Ltd Apparat für die plasma-behandlung
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US20030155079A1 (en) 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
JP3774094B2 (ja) 1999-12-02 2006-05-10 株式会社日立製作所 膜厚、加工深さ測定装置及び成膜加工方法
US6413867B1 (en) 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
WO2001052302A1 (en) 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US6353210B1 (en) 2000-04-11 2002-03-05 Applied Materials Inc. Correction of wafer temperature drift in a plasma reactor based upon continuous wafer temperature measurements using and in-situ wafer temperature optical probe
CN1327493C (zh) * 2000-05-17 2007-07-18 东京毅力科创株式会社 处理装置部件的装配机构及其装配方法
TW492106B (en) 2000-06-20 2002-06-21 Hitachi Ltd Inspection method for thickness of film to be processed using luminous beam-splitter and method of film processing
US6458495B1 (en) 2000-06-30 2002-10-01 Intel Corporation Transmission and phase balance for phase-shifting mask
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6896737B1 (en) 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
JP2002129337A (ja) 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US20020101167A1 (en) 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6902622B2 (en) 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US6591850B2 (en) 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20040062874A1 (en) 2002-08-14 2004-04-01 Kim Yong Bae Nozzle assembly, system and method for wet processing a semiconductor wafer
US6828241B2 (en) 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6806653B2 (en) 2002-01-31 2004-10-19 Tokyo Electron Limited Method and structure to segment RF coupling to silicon electrode
JP2003231414A (ja) * 2002-02-08 2003-08-19 Akiyoshi Sugimoto 自動車
AU2003224977A1 (en) 2002-04-19 2003-11-03 Mattson Technology, Inc. System for depositing a film onto a substrate using a low vapor pressure gas precursor
US20040261712A1 (en) 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
KR20050001831A (ko) * 2003-06-26 2005-01-07 삼성전자주식회사 플라즈마 처리 장치
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
JP2005136025A (ja) 2003-10-29 2005-05-26 Trecenti Technologies Inc 半導体製造装置、半導体装置の製造方法及びウエハステージ
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7449220B2 (en) 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
JP3957705B2 (ja) 2004-05-28 2007-08-15 株式会社半導体理工学研究センター プラズマ処理装置
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US20060191638A1 (en) 2005-02-28 2006-08-31 International Business Machines Corporation Etching apparatus for semiconductor fabrication
KR101153161B1 (ko) 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
KR100694666B1 (ko) 2005-08-24 2007-03-13 삼성전자주식회사 원자층 증착 챔버의 에어 밸브 장치
US7460251B2 (en) 2005-10-05 2008-12-02 Taiwan Semiconductor Manufacturing Co. Dimension monitoring method and system
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003519907A (ja) * 1999-12-30 2003-06-24 ラム リサーチ コーポレーション 電極アッセンブリ
JP2003017470A (ja) * 2001-06-29 2003-01-17 Dainippon Printing Co Ltd 反応性イオンエッチング装置
JP2004363552A (ja) * 2003-02-03 2004-12-24 Okutekku:Kk プラズマ処理装置及びプラズマ処理装置用の電極板及び電極板製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101585624B1 (ko) 2009-01-13 2016-01-14 주식회사 나노텍 다채널 감지 신호의 시분할 처리가 가능한 공정 이상 모니터링 장치
JP2014017359A (ja) * 2012-07-09 2014-01-30 Tokyo Electron Ltd 終点検出方法、プログラム及び基板処理装置

Also Published As

Publication number Publication date
TWI375990B (en) 2012-11-01
CN101174096B (zh) 2012-12-19
CN101174096A (zh) 2008-05-07
US20080100222A1 (en) 2008-05-01
TW200822213A (en) 2008-05-16
KR101343948B1 (ko) 2013-12-20
EP1918978A3 (en) 2010-06-23
EP1918978A2 (en) 2008-05-07
KR20080039198A (ko) 2008-05-07
US8002946B2 (en) 2011-08-23

Similar Documents

Publication Publication Date Title
JP2008112965A (ja) 均一なエッチング速度分布の陰極を備えたマスクエッチングプラズマリアクタ
JP5728149B2 (ja) 可変処理ガス分布マスクエッチングプラズマリアクタ
US10170280B2 (en) Plasma reactor having an array of plural individually controlled gas injectors arranged along a circular side wall
US8017029B2 (en) Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
JP5584388B2 (ja) 裏面光学センサ及びエッチング分布の多周波数制御を備えたマスクエッチングプラズマリアクタ
US7967930B2 (en) Plasma reactor for processing a workpiece and having a tunable cathode
US8961804B2 (en) Etch rate detection for photomask etching
TWI828781B (zh) 減弱干涉端點檢測系統中的內部反射的方法及處理腔室
US8012366B2 (en) Process for etching a transparent workpiece including backside endpoint detection steps
US20080099437A1 (en) Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US10825662B2 (en) Method for driving member and processing apparatus
JP3138693U (ja) ノズルを備えたプラズマリアクタ及び可変プロセスガス分配
JP3138694U (ja) カソードリフトピンアセンブリを備えたマスクエッチプラズマリアクタ
KR200461690Y1 (ko) 캐소드 리프트 핀 어셈블리를 구비한 마스크 식각 플라즈마반응기
KR200461689Y1 (ko) 노즐들 및 가변 가능한 공정 가스 분배를 구비한 플라즈마반응기
JP4654097B2 (ja) 半導体製造装置
CN201348719Y (zh) 一种用于等离子体反应室的升降销
KR20030094904A (ko) 반도체 제조설비의 투시창

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100716

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100716

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120110

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120409

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120416

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120510

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120515

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120609

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120614

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120709

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130312

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130606

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130611

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130711

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130717

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130811

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130815

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130903

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140513