TWI360157B - Wet developable hard mask in conjunction with thin - Google Patents

Wet developable hard mask in conjunction with thin Download PDF

Info

Publication number
TWI360157B
TWI360157B TW093116809A TW93116809A TWI360157B TW I360157 B TWI360157 B TW I360157B TW 093116809 A TW093116809 A TW 093116809A TW 93116809 A TW93116809 A TW 93116809A TW I360157 B TWI360157 B TW I360157B
Authority
TW
Taiwan
Prior art keywords
protective layer
photoresist
substrate
group
layer
Prior art date
Application number
TW093116809A
Other languages
English (en)
Other versions
TW200507058A (en
Inventor
Sam X Sun
Chenghong Li
Original Assignee
Brewer Science Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brewer Science Inc filed Critical Brewer Science Inc
Publication of TW200507058A publication Critical patent/TW200507058A/zh
Application granted granted Critical
Publication of TWI360157B publication Critical patent/TWI360157B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

1360157 九、發明說明: 担__關申請亲 本申4案主張2003年6月11曰申請之臨時申請案, 名為用薄光阻劑與可濕式顯影的光敏性抗反射硬掩膜増強 微光石印刷效能,案號6〇/477,58〇之優先權,該案以引用 方式納入本文中。 【發明所屬之技術領域】 本發明大體上係針對形成能使用超薄光阻劑層之微電 子結構的新賴方法。 【先前技術】 ,虽光阻劑藉由曝光而用來產生圖案時,瑞利(Rayieigh) 疋律可用來定義圖案解析度和焦點深度(D〇F): 解析度= .kA/NA ;及 DOF = k^/NA2 » 其中λ為輻照波長,NA為曝光工具的數值孔徑,而k,和k2 為已去t矛王的常數。瑞利理論顯示具有短波長與大數值孔 ㈣曝光工具會產生較佳的圖案解析度。這個原理就是為 什麼微電子產業已逐漸向短曝光波長進展的理由。然而, 瑞利定律也顯不提高解析度會導致DOF減少。 使用薄光阻劑會減少^值並增加^ t,而導致較佳 解析度與大的卿。結果,已進行廣泛的研究以試圖減少 光石印刷製程中的光阻劑厚度。由於在整個光阻劑上的硬 ::二=)個基材上的硬掩膜有不同的链刻選擇性(與不同 且劑厚_ 已將氮化矽、氧H介々 化物、過渡全屬、非=化石夕/氧化物、氧化石夕/氮 聶 非日日形矽與金屬/氧化物硬掩膜導入蝕刻 ;二:圖減少光石印刷製程中所需要的光阻劑厚 *定光阻之:掩膜對光阻劑的㈣選擇性係 ㈣化學和:Π夕惜的是,在常用的硬掩膜電聚 ㈣_得相當快。因此,甚 至在夕層硬掩料情況下,綠_度仍然很大。 =嘗試多層或複合光阻劑製程。在此製程中,猶微 相先阻劑層係形成於基材上,接著曝光 1 且劑圖案化。重複此製程直到累積期望數目的光阻劑層為 2而母1越薄’則必須處理更多層以達到特定的最終 :又:此製程的缺點和優點一樣地顯而易見。特別是程序 ;r、重時,每個光阻劑層的圖案必須完全對齊。 因此需要能使這些問題減到最少或甚至是消除它們的 方法。 【發明内容】 本發明之改進硬掩膜與方法會使上述問題減到最少, 更佳為消除它們。纟某一方面,本發明大體上係有關形成 用於各種微電子應用,例如積體電路(IC)製造用之光石印 刷製程與微機電系統(MEMS)應用之結構的方法。 丄划157 更詳5之,此方法包括最初經由任何已知方法(如旋轉 塗敷法)將保護或硬掩膜層敷至基材。例示的基材包括選自 由石夕、多晶⑦、氧切、氮切、氧氮切、4化鎵、紹、 鎢鈦欽_鶴、錦、銅與金基材所組成之群組者。保護層 可直接敷至基材表面,或敷至一或多個最初敷至基材表: 的中間層(如平坦化層、附加硬掩膜層卜無論如何,此美 材可以是平坦表面,或者可包含表面狀態(導孔 : 凸起特徵等)。 在敷上保護層之後,較佳為在約130 1 25(rc,更佳 為約180至21〇。(:的.、田谇τ -丄 /皿又下错由加熱進行硬化步驟。烘 後的保護層厚度較佳為約 八 住马約20至bOnm,更佳為約40至1〇〇 nm,甚至更佳為40至80 nm。 保護層較佳為可渴式銪 ω '式顯衫者。換言之,硬化組成物可 用、知水性顯影劑,例如氣氧化四 影劑移除。這此顯芒+ θ ΚϋΗ顯
—.‘貝办Μ右干是以商品名MF shipley,Massachusetts)、M (了購自 (可購自ΊΌΚ T 、 20(可購自Sh_y)與NMD3 購自T〇Kjapan)顯影劑所商品化者。至少$㈣ 佳為至少約99〇/〇的本菸 子乂 ..1月之覆層將藉由鹼性顯影劑,例如 虱氧化四甲銨和Κ0Η顯影劑移除。 ”如 由於濕式顯影的等向性,m l m ^ 因此光石印刷製程中的可濕 八顯衫層有圖案下切的倆 由使佯…在本發明中,下切問題係藉 "j成為光敏性而解決。因此,當曝光於至少約! ⑽時’可將圖案定義於保護層中。 保護層較佳為具有 光性。具體而言,本發明之方法 1360157 中所用的保護層具有至少約0,較佳為至少約〇丨,更佳為 、·勺〇·2至0.5的k值(複數型折射率的虛數部分),與至少約 1.2’較佳為約1>6至! 8的n值(複數型折射率的實數部分)。 廷些值可在大範圍的波長,包括小於約50〇nm (如436 nm、 365nm、248 nm、193nm、157nm、l3nm、4 腿 和X射線)的波長下得到。 此保護層在整個基材上也應具有高蝕刻選擇性,以提 供適當_障壁。這是因為此方法中的光阻劑層只藉由 曝先和濕式顯影而用以使保護層圖案化之故,而光阻劑在 習知方法中也當作電漿蝕刻障層…匕,當氣或HBr用來 作為蝕刻劑時,在整個基材(如多晶矽)上之保護層的钱刻 選擇性為至少約卜較佳為至少約5,更佳為約1〇至2⑼。 此外,當氣或氧用來作為蝕刻劑時,在整個光阻劑(如口⑺ 光阻劑)上之保護層的蝕刻選擇性為至少約丨,較佳為至小 更佳為约10至100。當氯或HBr為钱刻劑時:此: 濩層應以小於約5 nm/秒,較佳為的π λ, ▼ 权佳為約0·02至1 nm/秒的速 率蝕刻。 在敷上保㈣H接著可將光阻劑施用至該保護層, 然後在約9〇i 18〇°C,較佳為約9〇1 13(rc的溫度下烘 烤。有利地說’光阻劑可以遠比先前技術製程的情況更薄 的層來使用。烘烤後的光阻劑厚度將小於約i5〇nm,較佳 為約2〇至1〇0甚至更佳為約2〇至nm。由於具有 上述定義性質之保護層的存在’因此這麼小的光阻劑厚度 是有可能的。於是,可使用含有(在烘烤之前)小於約5重 丨.5至3.°重量%之固體含量的光阻劑組成 目的,這類光阻劑可特地製備,或可將市 到達到期望固體含量為止。 量0/〇,更佳為約 物。為了達成此 售光阻劑稀釋直 接著可使光阻劑曝光及顯影。遵循本發 成用於線/間隙、雙金屬鑪呔 法此生 社椹甘 屬鑲法和其他微石印刷製程的前驅 。構,其具有上述期望性質。 【實施方式】 法的!:言之,第1“ ld圖說明用於本發明之光石印刷 :的豐層。參照第U圖,光敏性與抗反射性硬掩膜 玲10係以約20至150 nm的厚度旋轉塗敷至基材u上5, 取決於它在整個基材上的蝕刻選擇性、基材蝕刻深度,及 其光學性質(如折射率、消光係數)。此保護層較佳^接著 在熱板上藉由烘烤而硬化。薄光阻劑層14,取決於下伏保 護層的色調而為正性或負性者,接著係以上述厚度旋轉塗 敷於該保護層上方。 在第lb圖中,光掩膜16上的圖案係藉由輻照18轉移 到薄光阻劑層14上。如第ic圖所示,接著藉由顯影使圖 案轉移到保護層10 (較佳為同時)連同藉由鹼性顯影劑轉移 到光阻劑層14。最後’基材12係透過圖案化保護層丨4予 以電漿蝕刻(第1 d圖)。 此光石印刷法能與任何微電子基材一起使用。再者, 此方法可用於MEMS應用或與欲透過可濕式顯影之保護層 與薄阻劑而蝕刻成圖案或結構的有機介電層、平坦化層及 1360157 金屬層相結合》 保護層-薄光阻劑法亦可用於ic製造的離子植入法 中。離子植入法中的疊層與第la圖所示者相同。當使基材 植入硼、磷、砷或任何其他元素時,此圖案化保護層係作 為離子障層。 於一較佳具體實例中,保護層可包含聚合烷氧基金屬、 聚合物黏合劑、多元醇、發色團(如氰基丙烯酸酯、9憩甲 酸,與4-羥基苯甲酸)、光酸產生劑(pAG,如TAZ_1〇8TM)、 光敏引發劑(如Irgacure 184TM)及有機溶劑的任意組合。若 干特佳組成物係揭示於2002年6月25日申請之美國專利 申請案號10/180,625,該案以引用方式納入本文中。 於一具體實例中’較佳保護層組成物包括含有具備下 式之重複單元的聚合物
式中X係選自由光衰減部分體與多元醇組成之群組,厘為 金屬,而每個R係個別選自由氫、烷基(較佳為芳 基、烷氧基與苯氧基組成之群組。最佳R基為_CH3和· 〇C2H5。 最佳金屬為Ti、Zr、Si’及/或A1。亦較佳的是光衰 減部分體含有與聚合烷氧基金屬之金屬原子配位的官能 基。這類官能基包括羰基、醇與酚基。此外,部分體(亦即 x)較佳係以聚合物的總重量當作丨〇〇重量%為基準,約2 1360157 至50重量%,更佳為約2至25重量%的量存在於聚合物 中。適合的光衰減部分體包括選自由三羥甲基乙氧基化 物、4-羥基苯甲醛,與2-氰基·3_(4_羥苯基)_丙烯酸乙酯的 部分體所組成之群組者。 較佳組成物係藉由簡單地使聚合物分散或溶解於適合 的溶劑系統中而形成,較佳為在環境條件下達到充分的時 間以开> 成貝貝均勻分散液。聚合物應以組成物中固體的總 重量當作Η)0重量%為基準,約2至5〇重量%,更佳為: 5至30重量% ’又更佳為約7 i 15重量%的量存在於組成 物中。 較佳的溶劑系統包含選自由丙二醇甲醚乙酸酉旨 (PGMEA)、丙二醇甲醚(PGME)、丙二醇正丙醚(pnp)、乳 酸乙醋,及其混合物$組成群組之溶齊卜較佳而t,此溶 劑系統具有約50至25(TC,更佳為約1〇〇至175。〇的彿點。 此溶劑系統應以組成物中固體的總重量當作1〇〇重量%為 基準,約70至95重量%,較佳為約8〇至9〇重量%的量 使用。 任何附加成分亦較佳與聚合物一起分散於溶劑系統 中。一種這類較佳附加成分為第二聚合物或聚合物黏人 劑,例如選自由環氧清漆樹脂(如可購自Araldite的Ep〇°n 164®)、丙烯酸酷(如聚(甲基丙烯酸縮水甘油酿聚合胺 基塑料(如可購自Cytec Industries的Cymei<&產品)、甘腺(如 可購自Cytec industries的p〇wderHnk⑧產品)、乙烯鱗,及 其混合物所組成之群組者。此附加聚合物的重量平均分子 12 1360157 車乂佳為約1,000至50,000道爾頓, 25 〇〇〇 ^ 明螨,更佳為約5,〇〇〇至 ,叫道爾頓。在使用附加聚合 以组1 A + %具體實例中’組成物 ',成物中固體的總重量當作1〇〇 ^ 約1至π + 垔里/〇為基準,應包含 芏50重量%的此附加聚合物,旻祛 %者。 尺佳為約5至25重量 中。^::干其他任選成分亦可包含在保護層組成物 化劑、交聯劑,與黏合增進劑,界面活性劑、催 严的:於較佳的光阻劑’市售光阻劑無法形成2…50 nm ^ 更確切地說,為了符合上述要求,必須使它們變 光阻劑變薄較佳為利用充分量的有機溶劑,例如乳酸 二PGME、PnP、PGMEA,及/或2舶完成,以獲得 本文中所述之固體含量。 —此薄光阻劑係提供若干重要的優點於光石印刷法。第 在!個方法中並沒有顯著的光阻劑圖案。乾式蝕刻掩 13 1 Γ ?為光阻劑所g要,使得此光阻劑更成為光敏性層而 不/、疋光阻劑。第二,此光阻劑層如此地薄,以至於透明 度變得比較不成問題。第三,由於超薄光阻劑之故,本發 明開啟了以非化學放大型光阻劑用於KrF或較短波長之光 石印刷法來代替非常麻煩之化學放大型光阻劑的機會。第 四’由於低縱橫比和#護層對基材之優異黏著力的緣故, 因此保護層與光阻劑圓案崩落的機會如果沒有完全消除也 會顯著降低。第五’光阻劑的薄度必然會改善圖案的解析 度。第六’曝光焦點偏移對薄光阻劑比對厚光阻劑有較小 1360157 的影響。由於薄光阻劑之故,因此不同DOF所導致保護層 圖案的臨界尺寸(CD)變化較不顯著。 實施例 下列實施例係根據本發明提出較佳的方法。但是應瞭 解這些實施例係提供作為說明用而在此不應視為本發明之 整體範疇的限制。 實施例1 保護層調配物 1. 製備保護層調配物1 此部分所用的成分係於下表1提出。使二酮配位體(乙 醯乙酸乙酯)、發色團(氰基丙烯酸酯),與胺基塑料交聯劑 (Cymel®)溶於三分之一的總溶劑(PnP)中。此混合物係透過 薄膜過濾器過濾以達到離子移除。然後,添加聚鈦酸二丁 酯與剩餘三分之二的溶劑。最終調配物係透過粒子過濾器 過浪'。 表1-保護層調配物1 聚鈦酸二丁酯(得自DuPont) 24g 乙醢乙酸乙酯(得自Lonza) 31g 氰基丙豨酸酿(得自St.-Jean Photochemicals) 6.3g Cymel® 303LF(得自 Cytec. Industries) 6.3g PnP(得自 General Chemical Corp.) 332g 2. 製備保護層調配物2 此部分所用的成分係於下表2提出。使二酮配位體、 14 1360157 發色團,與胺基塑料交聯劑溶於三分之一的總溶劑(PnP) 中。此混合物係透過薄膜過濾器過濾以達到離子移除。然 後,添加聚鈦酸二丁酯、光酸產生劑(TAZ-108tm),與剩餘 三分之二的溶劑。最終調配物係透過粒子過濾器過濾。 表2-保護層調配物2 聚鈦酸二丁酯 24g 乙醯乙酸乙酯 31g 氰基丙烤酸酯 6.3g Cymel® 303LF 6.3g TAZ_108 (得自 Midori Kagaku Co.) 2.6g PnP 332g 3. 製備保護層調配物3 此部分所用的成分係於下表3提出。將表3中的聚鈦 酸二丁酯、乙醯乙酸乙酯,與1,1,1-參(羥甲基)乙烷添加至 備有軸轉動式攪拌器、水冷式冷凝器,與氮氣掃掠的玻璃 反應器中。此反應器於攪拌情況下加熱至100°C達20小時, 接著冷卻至30°C。最後在室溫下添加並混合溶劑PnP。 表3-保護層調配物3 聚鈦酸二丁酯 5.2g 乙醯乙酸乙酯 6.5g 1,1,1-參(羥甲基)乙烷(得自Aldrich) l-3g PnP 87g 4. 製備保護層調配物4 此部分所用的成分係於下表4提出。使所有成分混合 並溶於溶劑中。此混合物係透過粒子過濾器過濾。 15 1360157 表4-保護層調配物4 聚鈦酸二丁酯 37g 乙醯乙酸乙酯 17g 1,1,1-參(羥甲基)乙烷(得自Aldrich) 5.2g Irgacure® 184 (得自 Ciba Specialty Chemicals) 26g PGEMA(得自 Harcros Chemicals) 722g 實施例2 保護層的工藝條件 實施例1之第1部分中所製備的保護層調配物係施用 至矽基材並且在表5中所示的下列條件下加工。 表5-工藝條件 旋轉速度 2000 rpm 旋轉時間 60 sec 烘烤溫度 205〇C 烘烤時間 60 sec 光阻劑(非化學放大型光阻劑,由Shipley以Ultra i-123 的名稱販售者)係利用乳酸乙酯以1 : 6的重量比稀釋以達 到具有約2.2重量%之固體含量的光阻劑組成物。此光阻 劑係於下列工藝條件下施用至保護層:2000 rpm的旋轉塗 敷;90°C/60 sec的軟性烘烤;115°C/90 see的曝光後烘烤 (PEB)。第2圖表示在365 nm (曝光劑量-110 mJ/cm2)下, 於i線步進機(GCA型號3300,NA 0.43)上,用本發明之 保護層與稀釋光阻劑厚度所得到之緻密線圖案(1 : 1)的橫 剖面。保護層厚度為76 nm。此光阻劑和保護層係利用0.26 N的 TMAH顯影。 第3圖表示用24 nm的光阻劑厚度(使用此實施例開頭 16 1360157 部分所述的變薄光阻劑)所得到之線圖案(〇6微米緻密l/s (1 : 1))的橫剖面。使用實施例i之第i部分的保護層調配 物,此保護層厚度為76 nm。工藝條件與表5中所示者相 同此光阻幻的工藝條件為:5000 rpm/60 sec的旋轉塗敷; 90°C/60 sec的軟性烘烤;115〇c/9〇 sce的pEB。其餘條件 與前一段中關於第2圖所討論者相同。得到透明的保護層 圖案。 第 4 圖表示在 Krp 步進機(Micr〇scan hi sVGL/ASML, NA=0·6,劑量=47mJ/cm2)上所得到之緻密(1 : 1)線/間隙圖 案的仏面為了知'到這些圖案,係施用實施例1之第4 部分中所製備的保護層調配物並且在纟5中所示的條件下 力 市售化學放大型KrF光阻劑(由Shipley以UV210的 名稱販售者)係利用乳酸乙g旨以35 : 65的重量比稀釋。此 稀釋光阻劑係以2_ rpm/6()咖施用至保護層並且在川 C /60 sec下烘烤,以生成具有厚度約打爪的保護層。 。著BEI片係透過光掩膜在248 的波長下曝光並且在 C/90咖下供烤。此光阻劑連同保護層係利肖0.26 N的 TMAH顯影。 層轉移刭臬;bl· 至夕:施侈11 1之帛1部分中所製備的保護層調配物係施用 生曰曰夕的表面上。此保護層係利用表5中的條件加工, 成厚度為75 nm的保護層。將光阻劑層敷在此保護層上 17 1360157 ’隨後於9〇t/60sec下供烤以生成具有厚度約45細的 先阻劑層,。接著此光阻劑層係透過光掩膜在如⑽的波 長下曝光並且於13(rc/9() sec下再次烘烤。此保護層與光 阻劑的曝光區域係藉由〇·2…TMAH溶液移除。得到 與貫施例2之結果相似的保護層與薄光阻劑圖案。在似· nm多晶石夕上方有保護層之線/間隙圖案的晶片係利用下列 條件進行反應性離子蝕刻(RIE):細刻劑)8〇咖,·壓 力25 mTorr;與RIE功率25〇 w。75 nm之保護層的線/間 隙圖案係成功地轉移到此多晶石夕基材上。帛5圖表示此钱 刻結果。 實施例4 保護層離子植入障層 實施例1之第1部分中所製備的保護層調配物係施用 至矽基材上。此保護層係利用表5中的條件加工。光阻劑 係施用在此保護層上方’隨後於9〇t /60 sec下烘烤以生成 具有厚度約45 nm的光阻劑。接著此光阻劑層係透過光掩 膜在365 nm的波長下曝光並且於not /90 sec下再次烘 烤。此保護層與光阻劑的曝光區域係藉由0.26 N的TMAH 溶液移除。所得保護層與薄光阻劑的圖案在1 〇 1 4離子/cm2 與50 KeV下進行氧植入。第6圖表示在植入之後完整無 損的保護層圖案。 實施例5 18 膏 ^ 刻選擇性 只轭例1之第】和3部分中 表 丫所1備的保護層調配物 埂Π市售先阻劑與多晶矽基材係利 6中的數據顯亍太掘·"肉—°氯加以蝕刻。 肩不本揭不内谷的保護層確實為㈣障層。 實施例1 的調配物1_ 的調配物3
Tjv^^To^-- -----多晶砂 得自 Shipley 氧 (seem) 氣 (seem) 80 ~~~~~ 25〇~~ ~~~Ιλ~~ 一(mTorr) 25 蝕刻速率 (nm/sec) 0.035 ~~50- ~~~50 50 80 ~~25〇~~~~~ 〇1 50 cn-- 25 0.029 ~80~ 250 ~~5〇___ 25 4.9 Ϊ0 多6-fefe刻速率刀 〇 【圖式簡單說明】 Ο 意圖弟la至ld圖為描繪由本發明方法所形成之結構的示 电子顯从鏡(SEM)照片; 之橫叫面表讀據本發明用最薄的光阻#!所製備晶月 J面圖的SEM影像; 之^面4圖1 為表示根據本發明用248侧曝光所製傷晶片 J面圖的SEM影像; 之撗:面5圖圖:Γ根據本發明所製造之多晶糊隙圖案 、面圖的SEM影像;及 第6圖為表示離子植入後的保護層線/ 面圖的S_f彡|。 ® _之橫剖 19 1360157 【主要元件符號說明】 10保護層 12基材 14薄光阻劑層 16光掩膜 18輻照 20

Claims (1)

  1. +、申請專利範圍·· κ一種形成用於微電子應用 包括下列步騾: 100年5月丨6日修正替換頁 之前驅結構的方法,該方法 長1供具有表面的基材; 劑時 性, 厚度 將:護層敷至該基材“,當使用氯 ’該保護層具有約1()•謂 作為餘刻 且該保護層為可濕式顯影;广基材上之㈣選擇 將光阻劑敷至該保護層,該心劑具有約叫⑽⑽的 2_如申請專利範圍 5蔓層敷設步驟之前將中 3.如申請專利範圍 化層。 第1項之方法,更進—步包括於該保 間層敷至該基材表面的步驟。 第2項之方法,其中該中間層為平坦 其中該保護層具有至 其中該保護層為光敏 4·如申請專利範圍第i項之方法 少約1 _2的η值與至少約〇的k值。 5·如申請專利範圍第丨項之方法 性0 6_如申請專利範圍第1項 護層 驟: 硬化的步驟 之方法,更進一步包括使該保 7,如申請專利議1項之方法, 更進一步包括下列步 及 使至少一部分之該光阻劑 π e ϋ 對先化輻射曝光 使该曝九先阻劑顯影。 項之方法,其中: 8·如申請專利範圍第7 21 1360157 1〇0年5月16日修正替換頁 该保護層於鹼性顯影劑中 ' 具有起始溶解戶. .該曝光步驟更進-步包括使至少 光化輻射曝光;及 。卩刀之该保護層對 該曝光保護層部分於鹼性顯 該最終溶解度大於該起始溶解度。谷解度, 9·如申請專利範圍第7項之方味.^ a ,, ,. B '去’其令該顯影步驟自括 用水性顯影劑使該曝光光阻劑顯影。 !〇·如申請專利範圍第9項之方、本甘a :該保護層由鄰近於該光阻劑之該曝光部= 11 係選自 顯影劑 .如申請專利範圍第9項之方法,#中該水性 由氫氧化四甲銨和KOH顯影劑組成之群組。 12. 如申請專利範圍第丨項之方 1 .,.^ ^ T 〇褒基材具有形 成在〃、中的孔,該孔係由底壁與側壁 1〜执 叫S亥敷設+ 驟包括將該保護層敷至該底壁與側壁的至少一部分。/ 13. 如申請專利範圍第1項之方法, ,外 尺選步包括於哕 保護層敷設步驟之後,在約130至25〇t的溫度下烘 ^ ^ JS, AA ^ 8¾ . '、巧该保 13項之方法,其中該保護層具有 14.如申請專利範圍第 約20至150nm的厚度。 15. 如申請專利範圍帛"員之方法,其中該光随劑以& 成物的總重量當作100重量%為基準,係由包含小於纟、·且 量%之固體的組成物所形成。 重 16. 如申請專利範圍第丨項之方法,其中該保護層包括: 22 丄柳丄57 100年5月丨6日修正替換頁 溶劑系統;及 :輪解於該溶劑系統中的聚合物,該聚合物包含 下式的重複單元
    0-)— Μ兔Μ 部分體與多元醇組成之群組, Μ為金屬,而每個R係個 其m * ”扪選自由虱、烷基、芳基、烷氧 基與本虱基組成之群組。 η·如申請專利範圍第丨 .,_ . , 士切夕日 μ芝万去,其中該基材係選自 由夕、夕日日矽、氧化石夕、氮化 /軋化矽、乳虱化矽、砷化鎵、鋁、 鶴、鈦、鈦-鶴、錦、銅與金基材組成之群組。 I8· 一種組合,其為: 具有表面的基材; 鄰近於該表面的保護層,當使用氣或账作為飯刻劑 時,該保護層具有約1G_2⑽之在碎基材上之_選擇性, 且該保護層為可濕式顯影;及 鄰近於該保護層的光阻劑,該光阻劑具有約爪⑽抓 的厚度。 19.如申請專利範圍第18項之組合, ^ 步包括介於 該基材表面與該保護層之間的中間層。 如申請專利範圍第19項之組合’其中該中間層為平 坦化層。 21.如申請專利範圍㈣項之組合,其中該保護層具有 23 1360157 ⑴〇年5月16日修正替換頁 至少約1.2的η值與至少約〇的k值。 22. 如申請專利範圍第18項之組合,其中該保護層為光 敏性。 23. 如申請專利範圍第18項之組合,其中係使該保護層 硬化。 24. 如申請專利範圍第18項之組合,其中該保護層具有 約20至150 nm的厚度。 25. 如申請專利範圍第18項之組合,其中該光·阻劑以組 成物的總重量當作1〇〇重量%為基準,係由包含小於約5重 量%之固體的組成物所形成。 26. 如申請專利範圍第丨8項之組合,其中該保護層係藉 由使包含下列者之組成物硬化而形成: 溶劑系統;及 分散或溶解於該溶劑系統中的聚合物,該聚合物包含 具有下式的重複單元
    式中X係選自由光衰減部分體與多元醇組成之群組, Μ為金屬,而每個R係個別選自由氫、烷基、芳基、烷氧 基與苯氧基組成之群組》 27.如申請專利範圍第丨8項之組合,其中該基材係選自 由矽、多晶矽、氧化矽、氮化矽、氧氮化矽、砷化鎵鋁、 鎢、銅、鈦、鈦-鎢、鎳與金基材組成之群組。 24 1360157 28_如申請專利範圍第丨項之方法 含有聚合烷氧基金屬之組成物。 100年5月丨6日修正替換頁 其中該保護層包含 29·如申請專利範圍第5項之方法 至少约1.2之η值及至少約〇之让值 30.如申請專利範圍第18項之纽合 含有聚合烧氧基金屬之組成物。 其中該保護層具有 其中該保護層包含 3 1 ·如申請專利範圍第22項之版八 至少約1 · 2之η值及至少約〇之k值。 ’其中該保護層具有 32·—種形成用於微電子應用 法包括下列步驟: 之則驅結構的方法 ,該方 提供具有表面的基材; 將保護層敷至該基材表面; 於約150 nm 將光阻劑敷至該保護層’該保護層具有小 的厚度; 胃 將該光阻劑之至少一部份及該保護層之至少一部份在 活化輻射下曝光; 、使該經曝光之光阻劑及保護層部份與水性顯影劑接觸 以移除該部份且在該光阻及保護層中形成圖案;及 將該圖案姓刻入該基材,該光阻劑在該㈣ 移除。 低 十一、圖式: 如次頁 25
TW093116809A 2003-06-11 2004-06-11 Wet developable hard mask in conjunction with thin TWI360157B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US47758003P 2003-06-11 2003-06-11
US10/864,787 US7364832B2 (en) 2003-06-11 2004-06-08 Wet developable hard mask in conjunction with thin photoresist for micro photolithography

Publications (2)

Publication Number Publication Date
TW200507058A TW200507058A (en) 2005-02-16
TWI360157B true TWI360157B (en) 2012-03-11

Family

ID=33555468

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093116809A TWI360157B (en) 2003-06-11 2004-06-11 Wet developable hard mask in conjunction with thin

Country Status (3)

Country Link
US (1) US7364832B2 (zh)
TW (1) TWI360157B (zh)
WO (1) WO2005001901A2 (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7867687B2 (en) * 2003-10-15 2011-01-11 Intel Corporation Methods and compositions for reducing line wide roughness
JP5368674B2 (ja) * 2003-10-15 2013-12-18 ブルーワー サイエンス アイ エヌ シー. 現像液に可溶な材料および現像液に可溶な材料をビアファーストデュアルダマシン適用において用いる方法
US20050255410A1 (en) 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
KR100703007B1 (ko) * 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
US7858293B2 (en) * 2006-09-22 2010-12-28 Tokyo Electron Limited Method for double imaging a developable anti-reflective coating
US7811747B2 (en) * 2006-09-22 2010-10-12 Tokyo Electron Limited Method of patterning an anti-reflective coating by partial developing
US20080073321A1 (en) * 2006-09-22 2008-03-27 Tokyo Electron Limited Method of patterning an anti-reflective coating by partial etching
US7883835B2 (en) * 2006-09-22 2011-02-08 Tokyo Electron Limited Method for double patterning a thin film
US7862985B2 (en) * 2006-09-22 2011-01-04 Tokyo Electron Limited Method for double patterning a developable anti-reflective coating
US8168372B2 (en) * 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
US7767386B2 (en) * 2007-01-15 2010-08-03 Tokyo Electron Limited Method of patterning an organic planarization layer
US7932017B2 (en) * 2007-01-15 2011-04-26 Tokyo Electron Limited Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
KR101647158B1 (ko) * 2008-01-29 2016-08-09 브레우어 사이언스 인코포레이션 다중 다크 필드 노출에 의한, 하드마스크 패턴화를 위한 온-트랙 공정
CN102016724B (zh) * 2008-04-23 2014-07-02 布鲁尔科技公司 用于微型光刻的光敏性硬掩模
US20090325106A1 (en) * 2008-06-27 2009-12-31 Conley Willard E Method for Implant Imaging with Spin-on Hard Masks
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US8053301B2 (en) * 2009-03-30 2011-11-08 International Business Machines Corporation CMOS SiGe channel pFET and Si channel nFET devices with minimal STI recess
US20100330756A1 (en) * 2009-06-25 2010-12-30 International Business Machines Corporation Integrated circuit structure manufacturing methods using hard mask and photoresist combination
US8647809B2 (en) * 2011-07-07 2014-02-11 Brewer Science Inc. Metal-oxide films from small molecules for lithographic applications
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
US9171720B2 (en) * 2013-01-19 2015-10-27 Rohm And Haas Electronic Materials Llc Hardmask surface treatment
US9136123B2 (en) 2013-01-19 2015-09-15 Rohm And Haas Electronic Materials Llc Hardmask surface treatment
EP2770373A1 (en) 2013-02-20 2014-08-27 Imec Conformal anti-reflective coating
US8759220B1 (en) * 2013-02-28 2014-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process
US9515272B2 (en) 2014-11-12 2016-12-06 Rohm And Haas Electronic Materials Llc Display device manufacture using a sacrificial layer interposed between a carrier and a display device substrate
FR3037716B1 (fr) * 2015-06-18 2018-06-01 Commissariat A L'energie Atomique Et Aux Energies Alternatives Transistors superposes avec zone active du transistor superieur auto-alignee
CN111487845A (zh) * 2019-01-29 2020-08-04 山东浪潮华光光电子股份有限公司 一种可以直接剥离的led管芯电极掩模图形的制作方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5091047A (en) * 1986-09-11 1992-02-25 National Semiconductor Corp. Plasma etching using a bilayer mask
DE3835737A1 (de) * 1988-10-20 1990-04-26 Ciba Geigy Ag Positiv-fotoresists mit erhoehter thermischer stabilitaet
US6218292B1 (en) * 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
US6162587A (en) * 1998-12-01 2000-12-19 Advanced Micro Devices Thin resist with transition metal hard mask for via etch application
US6127070A (en) * 1998-12-01 2000-10-03 Advanced Micro Devices, Inc. Thin resist with nitride hard mask for via etch application
US6165695A (en) * 1998-12-01 2000-12-26 Advanced Micro Devices, Inc. Thin resist with amorphous silicon hard mask for via etch application
US6171763B1 (en) * 1998-12-02 2001-01-09 Advanced Micro Devices, Inc. Ultra-thin resist and oxide/nitride hard mask for metal etch
US6200907B1 (en) * 1998-12-02 2001-03-13 Advanced Micro Devices, Inc. Ultra-thin resist and barrier metal/oxide hard mask for metal etch
US6156658A (en) * 1998-12-02 2000-12-05 Advanced Micro Devices, Inc. Ultra-thin resist and silicon/oxide hard mask for metal etch
US6306560B1 (en) * 1998-12-02 2001-10-23 Advanced Micro Devices, Inc. Ultra-thin resist and SiON/oxide hard mask for metal etch
US6020269A (en) * 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6309926B1 (en) * 1998-12-04 2001-10-30 Advanced Micro Devices Thin resist with nitride hard mask for gate etch application
US6136679A (en) * 1999-03-05 2000-10-24 Taiwan Semiconductor Manufacturing Company Gate micro-patterning process
TW439118B (en) * 2000-02-10 2001-06-07 Winbond Electronics Corp Multilayer thin photoresist process
US6624068B2 (en) * 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7070914B2 (en) 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
US7265431B2 (en) * 2002-05-17 2007-09-04 Intel Corporation Imageable bottom anti-reflective coating for high resolution lithography
US6740469B2 (en) * 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US7074527B2 (en) * 2003-09-23 2006-07-11 Freescale Semiconductor, Inc. Method for fabricating a mask using a hardmask and method for making a semiconductor device using the same

Also Published As

Publication number Publication date
US7364832B2 (en) 2008-04-29
WO2005001901A3 (en) 2005-12-01
TW200507058A (en) 2005-02-16
WO2005001901A2 (en) 2005-01-06
US20050074699A1 (en) 2005-04-07

Similar Documents

Publication Publication Date Title
TWI360157B (en) Wet developable hard mask in conjunction with thin
JP6109164B2 (ja) リソグラフィー用途用の小分子由来の金属酸化物フィルム
EP2245512B1 (en) On-track process for patterning hardmask by multiple dark field exposures
JP4336310B2 (ja) ハードマスク層としてのシリコン含有反射防止層及びその形成方法
JP4384919B2 (ja) ハードマスク層用の反射防止SiO含有組成物
JP5739325B2 (ja) マイクロリソグラフィー用の感光性ハードマスク
TWI344672B (en) Method of lithography patterning
CN100426140C (zh) 用于旋涂抗反射涂层/硬掩膜材料的含硅组合物
TW200837097A (en) Polymer having antireflective properties and high carbon content, hardmask composition including the same, and process for forming a patterned material layer
US20020058204A1 (en) Underlayer compositions for multilayer lithographic processes
TW200832056A (en) Method of creating photolithographic structures with developer-trimmed hard mask
TW200848939A (en) Anti-reflective coatings using vinyl ether crosslinkers
EP3362404A1 (en) Compositions and processes for self-assembly of block copolymers
TW200906977A (en) Hardmask composition having antireflective properties and method of patterning material on substrate using the same
CA2305461A1 (en) Thermosetting polyester anti-reflective coatings for multilayer photoresist processes
TW200837096A (en) Polymer having antireflective properties, hardmask composition including the same, and process for forming a patterned material layer
JP2001083696A (ja) 反射防止用重合体とその製造方法、反射防止膜組成物、パターン形成方法および半導体素子
TWI311567B (en) Cross-linking polymer for organic anti-reflective coating, organic anti-reflective coating composition comprising the same and method for forming photoresist pattern using the same
Choi et al. Novel single-layer chemically amplified resist for 193-nm lithography
JP5043376B2 (ja) 有機反射防止膜重合体、これを含む有機反射防止膜組成物及びこれを用いたフォトレジストのパターン形成方法
JP2002275215A5 (zh)
TWI324793B (en) Method for forming fine pattern of semiconductor device
JPH10111563A (ja) パタン形成方法及びそれを用いた半導体装置の製造方法並びに感放射線組成物
JP3813211B2 (ja) レジスト組成物及びパターン形成方法
JP2618978B2 (ja) レジスト材料およびこのレジスト材料を使用するパターン形成方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees