TWI357631B - Multi-zone substrate temperature control system an - Google Patents

Multi-zone substrate temperature control system an Download PDF

Info

Publication number
TWI357631B
TWI357631B TW096110748A TW96110748A TWI357631B TW I357631 B TWI357631 B TW I357631B TW 096110748 A TW096110748 A TW 096110748A TW 96110748 A TW96110748 A TW 96110748A TW I357631 B TWI357631 B TW I357631B
Authority
TW
Taiwan
Prior art keywords
heat transfer
fluid
temperature
flow
page
Prior art date
Application number
TW096110748A
Other languages
English (en)
Other versions
TW200807613A (en
Inventor
Shunichi Limuro
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200807613A publication Critical patent/TW200807613A/zh
Application granted granted Critical
Publication of TWI357631B publication Critical patent/TWI357631B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Control Of Temperature (AREA)
  • Drying Of Semiconductors (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Description

1357631 i* 九、發明說明: 【發明所屬之技術領域】 本發明關於一種用於基板之溫度控制方法及系統,尤其關於 一種用於基板之溫度控制基板固持器。 ^ 、 【交叉參考之相關申請案】
— 本發明相關於美國專利申請案案號10/9i2,182(ES-〇〇5),發明 名稱「基板溫度輪廓控制之方法及系統」,申請日為西元2〇〇4 ^ 8 月6曰;美國專利申請案案號10/721,500(PC0204A),發明名稱「熱 區域化基板固持器配件」,申請日為西元2〇〇3年n月26日;^ 國專利暫時申請案案號6〇/458,043(PC0262A),發明名稱「用於^ 板之溫度控制之方法及系統」,申請日為西元2〇〇3年三月28曰; =及美國專利申請案案號10/168,544(PC0003B),發明名稱「使用 牙透式光谱學之晶圓能帶邊緣測量方法及用以控制晶圓之溫度均 勻性之處理器」,申請曰為西元2001年1月5日;此等申請案之 全部内容併入此處以兹參照。 ’、 【先前技術】 已知在半導體製造與處理中,各種處理,包括例如蝕刻與沉 積處理’主要取決於基板之溫度。基板之溫度受到許多種處理所 影響,例如:電聚處理包括離子轟擊;熱處理包括輻射、對流、 與傳導,以及化學處理包括發生於基板之表面的化學反應。可夢 ^提供適當的溫度於基板固持器之上表面而控制基板之溫度。g 依施例’―種溫度控制系統,包含一第一流體通道,連 系统中之—處理元件之—第—熱區域,並且用以接收-之第一流動’其處於-第-流體溫度。-第二流體通道 iiiif理系統中之該處理耕之—第二熱區域,並且用以接收 二",、傳體之第二流動,其處於_第二流體溫度。—熱交換器單 元用以g、熱傳遞流體之整體流動,其處於—整體流體溫度, 6 1357631 其中該熱傳遞流體之該整體流動供應該熱傳遞流體之該第一流 與該熱傳遞流體之該第二流動。一熱傳遞單元連接至該^交換^並 且用以接收該熱傳遞流體之該整體流動,其中該熱傳遞單^元用^鲜 .由傳送熱量於一第一熱傳遞區域與一第二熱傳遞區域之間而提^ 處於該第一流體溫度的該熱傳遞流體之該第一流動並且提供處^ 該第二流體溫度的該熱傳遞流體之該第二流動,其中該第_ ^ 過該第一熱傳遞區域而該第二流動通過該第二熱傳遞區域。 依據另一實施例,一種基板溫度控制方法與一種電腦可讀取 體之程式指令’用以使用該溫度控制系統控制在一基板固持琴上所 固持的一基板之溫度。一第一熱傳遞流體提供至該第一流體通道。 一第一熱傳遞流體提供至S亥第二流體通道。使用該熱傳遞單元控 該第一熱傳遞流體之一第一流體溫度,相對於該第二熱傳遞漭^ 一第二流體溫度。 爪且 依據又另一實施例,該溫度控制系統包括在一處理系统中之 一處理元件之一第一熱區域,其用以接收一熱傳遞流體之第一流 動,其處於一第一流體溫度。在該處理系統中之該處理元件之一 第二熱區域,其用以接收一熱傳遞流體之第二流動,其處於— 一流體溫度。一熱傳遞單元傳送熱量於該熱傳遞流體之第—漭 ··
與邊熱傳遞流體之第二流動間,使得該第一溫度不同於該第一 Λ 體溫度。 牙一"丨L 【實施方式】 在下文之說明中,為了促進徹底瞭解本發明以及作為解 的而非限制,設定了一些具體細節,例如處理元件之特定幾 =處理祕中之溫度控航件之各種形狀。然而,應瞭 =
侍貫踐於不同於此等具體細節之其他實施例中。 J 雖然如此,應瞭解在說明中所包含的特徵也屬於發明内 管只解釋了發明内涵之廣義概念。 k 依據本發明貫施例,材料處理系統100顯示於圖1,政肖括一 處理工具11G,其具有—基板12G及其上所支持的—t 7 1357631
Ifm基肉板固,器120用以提供至少二個熱區域,排列於基板固持 ;!友/料二ί材,處理系統⑽愤供基板溫度之溫度輪廟控 制及/或快速調整。每一熱區域可,例如,包含一流體通道,用於 的流動速率及溫度觀流通—熱傳遞流體’在使用或不使 卜元件例如電阻加熱元件或冷卻上 ϊΐίίΐί情2下。為了清楚展示,在基板固持器中之流體通 ,、,、品域:且牛例顯示於圖丨、圖5、圖6、圖7、及圖8。 /在圖1所7F的實施例令’材料處理系统1〇〇可促進半導體處理 f雷造積體電路(IC)。例如’材料處理系統100得包含乾式 二電,刻气統。另外,材料處理系、統100包括光阻塗饰 ί歹t-Δ而阻旋塗佈系統中之加熱/冷卻模組,得用於黏附後烘烤 ρ=、止⑶011 趾6 ’ ΡΑΒ)或曝光後烘烤(post-ExP〇sure Bake, 自二例如紫外光(UV)印刷系統、介電質塗佈室例如 相、:新rU糸統、自旋介電質(S〇D)系統、沉積室例如化學氣 Ϊ、物理氣她積(PVC)祕、賴職化學氣相 、 )糸、、先、原子層沉積(ALD)系統、電漿增強ale^PEALD) 糸統、或快速熱處理(RTP)室例如用以熱退火之RTp系統。 依據圖1所不之實施例,材料處理系统1〇〇包括處理工具11〇, 理f積115 ’具有基板固持器120 ’其上支持著將處理的 二H軋體注入系統118、以及真空幫浦系統130。基板135 可為半導體晶圓或液晶顯示器(LCD)。 Μ=固持器120用以支持基板135且控制其溫度。用於基板固 H 度控制系統包含熱交才奥器及熱傳遞單元,連接至基板 ,s苦f * Μ中之兩個或更多個流體通道,且用以藉由從流經一流體 f道的k體傳送熱量至流經另—流體通道的流體而調整流經一通 道的熱傳翁體之溫度姉於流經另—越通道的熱傳遞 溫度。 如圖1所不,基板固持器12〇包含第一流體通道14〇,其為實 、上圓形,位於基板固持器120之中央熱區域,以及第二流體通道 丄357631 145’在基板固持器120之週邊(或邊緣)熱區域,同心圓排 一 =體通道140外,第-流體通道14㈣以循環流通熱傳魏體,盆 從對應的人π 141提供至基板12G且從基板固制ΐ2 ς =應的* 口 γ。第二流體通道145用賴環流賴傳遞流體,i f應的人π 146提供至基板固持器12()且從基板固持器 ς 對應的出口 147。 w 熱交換115G絲轉獻H溫度及題流動速率提供 遞流體之整體流動於熱交換器150之出口 157。此外,埶交…、 可用以從第-流體通道140之出口 142及第二流體通道145、丄出口 147而經由入口 156接收熱傳遞流體。熱傳遞流體可從出口丨42血 147排放至熱交換器150,或得排放至分離的收集池。舉例而/,、 熱交換器150可包括模式編號棚肌5(削冷卻器,可自Da^ ms ㈣取。熱交換器i5Q可彻熱傳遞流體例如水, ^I 電^體’例如 Fluorinert ’ Galden HT_135 或 Galden HT-200 =二之人士將瞭解’熱傳遞流體得為任意流體, 其用以傳㈣至基板固持H 12G或從基板固持器m將熱傳遞 出。 — 、^從,父換ϋ 150而來的熱傳遞流體之—部分流動係進入熱傳 遞之第—人° 158,以形成熱傳遞流體之第-流動,盆 元155之第一熱傳遞區域’並且從熱交換器150而 來的”、、傳遞机體之另一部分流動係進入熱傳遞單元155之第二入 %159勒2成熱㈣流體之第二流動’其經由熱傳遞單元155 區域。舉例而言’一個或更多個閥門及選擇性一個 量裝置可絲調整熱傳遞流體之進人第―人口 158的&動部分相對於熱傳遞流體之進入第二入口 159的流動部 分。 元f可用以從熱傳遞流體之第-流動傳送熱至熱 流動,使得在第—流體通道⑽之入口⑷處離 開,,,、、早7L 155的熱傳遞流體之第—流動達卿—流體溫度, 1357631 其小於或等於整體流體溫度,並且 處離開熱傳遞單元155的_遞、;之了體之入口⑽ 度,其大於或等於整體流^溫度U第到c溫 流動傳送熱至熱傳遞流體之== Ρ之笛二、丨之入口 M1處離開熱傳遞單元155的執傳遞流 動麵第—流體溫度,其大於或等於整體流體-产, =ί:ϊ體ΐ=入口 146處離開熱傳 溫度二度,其小於或等於整體流體 之交互兩方向用以在熱傳遞流體之第-與第二流動間 晋在伽中’熱傳遞單元155包含一個或更多個孰電果 ,。-個或更多個熱電裝置用以調整熱傳遞赫之第 流體之第二流動之溫度。每-熱電裝置可‘含第: 声而,=性連接至熱傳遞單元155之第—熱傳遞區域,及第二 於其it此溫度差異可影響可相互比較的溫度差異,例如,介 ·· 中:ΐ=基板=邊熱區域間。在操作 第-外、甬,广⑽至'、、、電裝置’以補償或維持用於輸送至 所需择兰、第二流體通道145的熱傳遞流體之溫度控制 .更快速Ϊ調整=度辱。熱電裝置也可以比,例如,熱交換器150 能担f數t熱電裝置得包含Peltier模組。Peltier模組是小型的固 置,’其_為熱幫浦。此賴組基於下醉實:在某些情況 =力Π電壓至兩個連結的材料會造成散熱或吸熱,取決於接觸區 /如ί之極性。依據—實施例,PeWer模組顯示於圖2。此模組 ^括P型191與n型192半導體材料,其由導體193a_b連接且 子閉於於陶究層194與195間。在操作中,t電力施加至ρ_Γ ⑶ 7631 模組時,裝置之一侧變冷而另一侧則變熱。改變電壓極性會使效 果反轉,且吸熱側變成散熱侧,反之亦然。藉著此種固態裝置, 加熱功率與冷卻功率大約正比於電力或所施加的電壓。 茲參照圖3A至3D,依據一實施例展示一熱傳遞單元35〇。 圖3A展示熱傳遞單元35〇之頂視圖且圖3B展示(如圖3A所示之) ,傳遞單元350之剖面圖,而圖3(::與3]〇展示熱傳遞單元35〇之 目對末端視圖。熱傳遞單元35〇包含第一流體導管,其具有第一 f列的熱傳遞片段320A-J形成於熱傳遞單元箱31〇中,以及第一 =列的導管彎部324A-I。第一流體導管從第一入口 358以第一流 速率及整體流體溫度接收熱傳遞流體,且經由第一出口 362以 ί二流動速率及第—越溫度(不同於整體越溫度)排出熱傳遞 ’熱㈣第—陣列的熱傳遞片段32ga·】傳送至熱傳遞流體之 或從其巾移除。賴第—流體導管被顯示成财十個⑽ 熱傳遞片段,但其得具有更多或更少。 片俨元?5一〇包含第二流體導管,具有第二陣列的熱傳遞 - Γ _及第二陣列的導管彎部326A-I。第二流體導管從第 二5 f以第二流動速率及整體流體溫度接收熱傳遞流體,且 汽,"流動料及第二流體溫度(不同於整體 ·· 被領干成:且f之第二流動或從其中移除。雖然第二流體導管 ^^十個⑽熱傳遞片段,但其得具有更多或更少。 -陵:350更包含一陣列的熱電裝置328A_J,設置於第 此陣列^ 段32(^與第二陣列的熱傳遞片段322A_J間。 ,列的熱電裝置328Α·Τ用以傳 上箱m展示熱傳遞單元之部分剖面圖。熱傳遞單元包含 及下箱412' 有第一陣列的一個或更多個熱傳遞月段420, ”中形成有第二陣列.的一個或更多個熱傳遞片段 1357631 % 422。一個或更多個熱電裝置428設置於 :===¾ 件 線420調整熱傳遞流體之第一流動之溫===傳 3;:個,多個熱傳遞片段422而調整熱傳遞流體:第ί: 動之>皿度。母—熱電裝置可包含第—表面似卜執性^ ^
峨至第:陣列的,更多個熱涊二I
— 在一例子中,熱傳遞單元被描述成具有如 組態。第一導管包含十個⑽熱傳遞片段(如圖3Α所至示 -熱傳遞片段之尺寸包括:長度①約_聰,而剖面之寬产= mm且其高度(η)約3 mm。雖然剖面被顯示成矩形& ) ^夕種形狀包括此等尺寸提供 t b二 或第二出口舶’或者第- 二 、出口、導管彎部、及熱傳遞片段可設計成且有大 面積。既然第—導管包含十個熱傳遞片段長 i連ΐΐΓ值 =於6000麵。因此,細的表面面積⑷,直 ΐί=?ί面:舉例而言,其得為一個或更多個熱電裝置 乐次第一表面,變成Aw=L*W=3125mm2。 3B户子I 第二導管包含十個⑽熱傳遞片段(如圖 一陆1 ,其令母一熱傳遞片段之尺寸建構成相同於第一導管。 “ i的,電裝置(例如,㈣沈模組)設置於第—與第二導管間, m〇m乘50、犯、所示。特別地,舉例而言,一陣列的約十二個(12) (50 片段采50 mm) Peltier模組可設置於第一與第二導管之每一熱傳遞 12 1357631
在給定用於熱傳遞單元之幾何形狀的情況下,表1展示若要求 達成介於第一流體溫度與第二流體溫度間之溫度差異(ATl)為 時’每一 Peltier模組間之溫度變化(δτ2),作為容積流動速率(V)經 由每一導管(亦即,第一與第二導管)之函數。 表1 V(lpm) V(mA3/sec) m(Kg/sec) u(m/sec) Re Nu h(J/mA2-k) Q1(W) △T2(C) 10 0.000167 0.307 1.067 6666.7 89.3 471.37 802.7 11.35 20 0.000333 0.613 2.133 13333.3 155.4 820.71 1605.4 13.04 30 0.000500 0.920 3.200 20000.0 215.0 1135.17 2408.1 14.14 40 0.000667 1.227 4.267 26666.7 270.6 1428.93 3210.8 14.98 50 0.000833 1.533 5.333 33333.3 323.5 1708.20 4013.5 15.66 表1提供容積流動速率(V)(每分鐘之升數,lpm ;以及每秒之 立方公尺,m3/sec)、質量流動速率(m=p*V)(當使用熱傳遞流體 ··
GaldenHT-200 日寺:密度,p=i840 Kg/m3 ;熱導率,k=0.066 J/m-K ; 動態黏性,v=2.0E-06m2/sec ;比熱,Cp=l〇47 J/Kg-K ; Prandtl 數字 -58.4 ’適用於20C)、以及流動速度(u=m/(pAh))(每秒之公尺, m/sec)。從此等流動參數決定Reyn〇lds數字(Re=uSH(yv)(基於水力 學直徑(dh=sqrt(4*Ah/7c)))與 Nussdt 數字(Nu=0.023*Re4/5*Pr〇·3)(基於 官子中之湍流流動的經驗公式),從其中可計算出熱傳遞係數 (h=Nu*k/dh)(J/m2-K)),適用於經由形成於熱傳遞表面之潮渴表面上 的流體邊界層之熱輸送。 ·· 現在,假定一質量流動速率(m),則為了將流體溫度從整體流 體溫度(Tb)調整至第一流體溫度(丁,)(或第二流體溫度(τ2)),所需要 傳送至熱傳遞流體或從其中移除的熱能為Qi=m*cpH!(Tb_Ti)(瓦 特,W)。此外,必須經由流體邊界層輸送以符合此需求的熱能 Q2=h*Aw*(T-Ts) ’此處T為流體溫度且Tsg熱傳遞表面之表面溫 度。藉由設定仏等於Q2,可決定跨越每_ pdtier模組之溫 中所需要的變化。 V } 表2展示用以達成1(TC之溫度差異(ΔΤι)之計算且表3展示用 以達成20C之溫度差異⑽)之計算。跨越每一觸过模 差異從約贼變化至約6(TC,以便分職成在流體溫度中 13 1357631 IOC、及20 C之差異。此等溫度差異妥當地處於商業上可獲得的 熱電裝置之電流限制内。 & 表2 V(lpm) V(mA3/sec) m(Kg/sec) u(m/sec) Re Nu h(J/mA2-k) Q1(W) 1605.4 △T2(C) 22 71 10 0.000167 0.307 1.067 6666.7 89.3 471.37^] 20 0.000333 0.613 2.133 13333.3 155.4 820.71 3210.8 26 08 30 0.000500 1.920 r 3.200 20000.0 215.0 1135.17 ^816^2 6421 6 28.28 29 Q6 40 0.000667 1.227 4.267 26666.7 270.6 —1428.9T~ 50 0.000833 1.533 5.333 33333.3 323.5 1708.20 8027 31.33 表3 V(lpm) V(mA3/sec) m(Kg/sec) u(m/sec) Re Nu h(J/mA2-k) Q1(W) AT2(C) 10 0.000167 0.307 1.067 6666.7 89.3 471.37 3210 8 A\ 20 0.000333 0.613 2.133 13333.3 155.4 820.71 6421 6 H J ,Η 1 30 0.000500 0.920 3.200 20000.0 215.0 1135.17 9632 4 •J ^ · JL O 40 0.000667 1.227 卜4.267 ^6666.7 270.6 1428.93 12843 2 ^ V * ^ f SQ Q? 50 0.000833 1.533 5.333 33333.3 323.5 1708.20 16054 jy .y 62.65 丹κ爹α圖1,控制器16〇包含微處理器、記憶體、及數位 I/O埠,可產生控制電壓足夠用以通訊且啟動用於材料處理系統 100之輸入’同樣也可監看從材料處理系統100而來之輸出。再者, 控制器160可it接至且可交換資訊於氣體注入系、統118、真 =統130、熱交換器⑼、熱傳遞單元⑸、高壓直流队)電壓供 ·« 進基板135之靜物WX及背部氣體供_ 减可絲依據處理 rp=a ion 札知的 DELL PR£cisi〇n w〇rkstati〇n _τμ。 • *執it含,為—廣義目的之電腦系統,回應於用 塞户入m L本發月錢理15處理步驟之—部分或全部。此 芎f腦可讀取媒體’例如硬碟或可移除式媒體驅動 器而§買人控制11記憶體内。在多域理安排中之-個或更Ϊ 14 1357631 個處理器亦得用來作為控制器微處理器,以執 中之指令之順序。在另外的實施例中,硬體配線電 軟體指令或與其組合。因此,實關稀練何 =來取代 路與軟體之組合。. 特疋的硬體配線電 控制器160包括至少一電腦可讀取媒體或記憔 記憶體,用以保持依據本發明之教導而程式化t八,α控制态 有貝料結構、表格、紀錄、或其他用以實施 所合 資料。電腦可tf取制之例子為綱、硬碟14所2要的 性光學碟、PROM (EPROM、EEPROM、快 Η 帶、磁 腿Μ、S讀、舰ΑΜ、或任何其他贿、 CD-ROM)、或任何其他光學媒體、打孔卡=,=(例如’
W 包Ϊ用以控制控制器160、用以驅動實施本發明之F 置、峨能控以便和制敍動之軟體, 腦可讀取媒體更包括本發明之電腦程式產品, 分(倘若處理為錄幻聽倾本發明之處理W貫仃所有或部 ·· 括之^馬裝f寻^可可傳譯的或可執行的碼機制,包 ,類別_s)、以及完整可執行程式。再者,本發明之^ ) 包_=為分散式,以獲得較佳效能、可靠度、及/或成^ 此處所使用的「電腦可讀取媒體」 = 供指令至控制謂之處理器用以執行,可;=得以 _性媒體包括,例如光碟、磁碟、及磁性光=^=^ 媒體驅動器。揮發性媒體包括動態記㈣,例如^-體。再者’讀形式的電腦可讀取舰得用來實現—個或更多個^ 15 1357631 士之一巧或更多個順序於控制器之處理器。舉例而言,指令得起初 ^現=遠端電腦之磁碟。遠端電腦可將用以實施本發明之全部或部 分的指令載入動態記憶體並且透過網路傳送指令至控制器16〇。 控制器160可設置於材料處理系統1〇〇之區域附近,或可材料 處理系統100之遠端。舉例而言,控制器16〇可使用直接連接、區 域網路、及網際網路巾之至少-種而與材料處理系統獅交換資 料。控制器16〇可連接至例如客戶端(亦即,裝置製造者等等)之區 域網路’或可連接至例如企業端(亦即,設備製造者)之區域網路。 此外,舉例而言,控制器160可連接至網際網路。更且,另一電腦 (亦即’控制器、伺服器等等)可為,例如,存取控制器160,以經 由直接連接、區域網路、及網際網路中之至少一種交換資料。 依據圖5所示的另一實施例之處理系統2〇〇,基板固持器 可更包括兩個或更多個溫度感測器17〇與175,分別設置於第一(中 =)熱區域與第二(週邊或邊緣)熱區域附近。溫度感測器可包括埶 例如’ K型熱電偶器另外,溫度感測器可包括光纖溫 :口,可商業上取自於 Advanced Energies,Inc. (I625 Sharp Point me’ Fort Collins’ CO, 80525)型號 〇R2〇〇〇F,可測量從 至 2000 ·· 度為正或負吹,或能帶邊緣溫度測量系統,描述於 中的美國專利申請案案號1〇/168,544,申請日為西元 2曰’發明名稱「使用穿透式光譜學之晶圓能帶邊緣 ’、’ I方法及用以控制晶JU之溫度均勻性之處理器」;此等巾請案之 全部内容併人此處㈣參照。兩個或更多個溫度感測器17〇與175 測量至控制器娜,回饋至用以獲得目標溫度分布之 切,控制器可調整—個或更多個熱電裝置之電流 ,電祕性,績調整麟歧體之第—流體溫度㈣於執傳遞 ΐϊϊϊΐί體ΐ度。此外,控制器160得調整絲流體溫度、 整體&動速率、第一流動速率、或第二流動速率。- 依據圖6所示的另一實施例,材料處理系統3〇〇可類似於圖 16 1357631 1與圖5之實施例,且可包含基板固持器22〇,其更包括熱絕緣 體225設置介於第一流體通道140與第二流體通道145間。熱絕 緣體225實質上同心圓於第一流體通道14〇與第二流體通道 145。熱絕緣體225插入於第一流體通道14〇與第二流體通道145 間,以使基板固持器220中之可控制的熱區域橫向絕緣。熱絕緣 體225可為任何低熱導率(相對於基板固持器22〇之熱導率)的材 料或其組合。另外,熱絕緣體225可包括具有可調整的熱導率之 絕緣體,例如具有可變壓力的氣隙。額外細節可發現於同在審查 中的美國專利申請案案號10/721,500,申請日西元2〇〇3年11月
26日,發明名稱「基板溫度輪廓控制之方法及系統其 併入此處以玆參照。 谷 依據圖7所示的另一實施例,材料處理系統4〇〇可類似於圖i、 圖5、與圖6之實施例,並且可包含基板固持器32〇,其更包括兩 個或更多個溫度控制元件33丨與332。每一溫度控制元件,亦即%工 與332,可,例如,包括用以流動熱傳遞流體之另一流體通道、 阻加熱元件、或熱電裝置(或其陣列)。此和基板固持器32〇 擇性包括熱絕緣體325。使用設置於第一與第二流體通道刚盘145 士方^兩個或更多個溫度控制元件可提供額外的控制用以加熱與 令卻基板135。 、 ·· 依=8所不之另—貫施例’材料處理系統卿·.可類似於 fU 之實施例,紅包含基板固持器,其更包 括兩個或更多個可控制的絕緣元件441與442。 元件,亦_與442,可包括具有可變壓力的直邑, 控制基板E1制420蚁熱之流動。額外㈣ 60/458,053 ^ t If 9 ^ 2003 ί ^稱「基板溫度㈣之方法及純」,其全勒容併人此處以^ =細9所示之另-實施例,基板晴器可,例如 6之實施例,並且可包含基㈣持器⑽,其更包括兩個分離= 17 1357631 料之^度控制。 婼得态620之週邊部分之溫度控制。選 擇性的”、、、.邑緣體625可分離熱區域635與熱區域630。 邮635 *流體通道_戶斤組成’該流體通道_之 6",Γ17 ^ ^ 636 ' 637'638 ' ^ 639 ° 6 7 638、及639分別由連結腳64卜642、 =形=於相鄰的圓形路徑間之位嫩 之= ίϋ 循環,於位置621Β處進入並且於位置622 〜Ϊϊ=2中,外圍熱區域㈣由流體通道645所組成,該 ί 6夂3i 八部分由同心圓路徑648與649所形成。同心圓路 方法理系統中之基板固持器上之基板溫度輪靡控制 多/重;^理主溫度輪廊計劃可關聯於處理系'統中之一處理之 ί Ϊ ί ·!板固持器可包含圖卜圖5、圖6、圖7、或圖只 控_㈣始於步驟9G5 ’其初始化控制參數,用以 板。此處所使用的「控制溫度輪廓」意指獨立 溫ί=ΞΪ 5不同的空_域’以達成均勻或非均勻的基板 域^艾參4二3用於第_熱區域之輸人參數及用於第二熱區 ,,兩$控制參數可更包含,但不限於,用於埶雷贫置雷 Ϊ之ί^Ι極性之輸入參數、用於靜電钳位HVDC電源供應電 於靜電甜位HVDC電源供應電流之輸入參數、 直办幫i Ϊ^Ϊ系統之輸入參數、氣體注人系統之輸入參數、 —幫浦糸、、故輪人參數,等等。用於第—與第二熱區域之輸入 18 1357631 = ,、或兩者 Ι^Χΐ; ° ^ 注入系率例:部=氣2,=;。用於氣體 勤、或氣體注人氣體類型。3讀/主人〜動連率、氣體注入 在步驟910中,建立於步驟9〇5中的批 實行預先處勸餘、練_、= 預定的背部壓力、調整基尥預m括等央住基板、建立 在步驟915中,處理系統啟動處理,用以 ^驟92G .中’控制及/或調整控制參 ‘預^ ,序而控制及/或調整。另外,藉由在由:理 條件下使用溫度感測震置(溫度感測器),控制參數 理程序所妓的處理條件下使用溫度感測裝置之 /皿度测里之比較,此兩者之組合而控制及/或調整。 稱ii=251處理被終止,並且隨後可選雜地控制及域 參數,以便後續處理基板、基板固持器、或處理系統中= 人本ϊϊΐ文Ϊ說明本發明之若干特定實施例,但熟悉此項技藐之 ====的質上不偏離本發明之新穎i導 於州修改°據此’所有此等修改皆被認為包括 【圖式簡單說明】 咏圖1顯示依據本發明實施例之具有基板固持器之處理系统之 簡化方塊圖。 ’、、几< 圖2顯示依據本發明實施例之巧出过模組之示意圖。 19 1357631
鸽儿队撕/十、貫%力一頁狍例之具有基板固持器之處理系 統之簡化方塊圖。 ♦•統之L7= 铺本發邮-實施例之財基板嶋之處理系 圖"8顯示依據本發明另—實施例之具有基板 之處理系 統之 , 統之間化方塊圖。 圖9顯7F祕包括第—與第二熱區域之第—與第二流體通道 之幾何形狀範例。 圖10顯示依據本發明實施例之溫度控制系統之操作方法。 【主要元件符號說明】 100, 200, 300,400, 5〇0 材料處理系統 110 115 118 120, 220, 320,420, 620 130 135 140 處理工具 處理容積 氣體注入系統 基板固持器 真空幫浦系統 基板 弟一流體通道 141, 146, 156 142,147,157 145 150 入口 出口 第二流體通道 熱交換器 20 1357631 * 155 158 159 160 _ 170, 175 190 * 191 192 193a 194,195 •鲁 225, 325, 625 310 320A-J 322A-J 324A-I 326A-I 328A-J 331,332 350 358 ·· 359 362 363 410 . 412 420 ' 422 428 4281 熱傳遞單元 第一入口 第二入口 控制器 溫度感測器 模組 P型半導體材料 η型半導體材料 導體 陶瓷層 熱絕緣體 熱傳遞單元箱 第一陣列的熱傳遞片段 第二陣列的熱傳遞片段 第一陣列的導管彎部 第二陣列的導管彎部 熱電裝置 溫度控制元件 熱傳遞單元 第一入口 第二入口 第一出口 第二出口 上箱 下箱 第一陣列的熱傳遞片段 第二陣列的熱傳遞片段 熱電裝置 第一表面 21 1357631 4282 430 441,442 621A, 621B, 622, 623 630 635 第二表面 熱絕緣構件 可控制的絕緣元件 位置 外圍熱區域 中央熱區域 636, 637, 638,639, 648, 649 同心圓路徑 640, 645 流體通道 641,642,643,646 連結腳 900 處理方法 ·· 905,910,915,920,925 步驟 ·· 22

Claims (1)

1357631 1357631 100年8月17曰修正替換頁 96110748(無劃線) |/衅》月曰修正替換頁 、申請專利範圍 L 一種溫度控制系統,包含: 孰通道’連接至一處理系統中之一處理元件之一第一 =域,並且用以接收處於第-流體溫度的-熱傳遞流體之第ί流 執;第^流體通道’連接至該處理祕中之該處理元件之一第二 f,、且用以接收處於第二流體溫度的一熱傳遞流體之第二流 體之ΐϊίί器以提供處於—整體流^度的—熱傳遞流 體之“巾〜熱傳遞流體之該整體流動供應該熱傳遞流 體之,―流,與雜傳遞_之該第二流動;及 之該中連用,收該熱傳遞流體 值雜㉞熱傳4早70用以藉由傳送熱量於一第一熱 該埶5“之;f if域之間’而提供處於該第一流體溫度的 域’而該第二義二解遞區. .遞: 熱傳遞單元包含連接至 之> 熱性 以及熱: 以及 、連接至該第二熱傳遞區域之一第二列【 可獨立控制的熱電裝置,其係設置在該 3”、、傳遞片段的母一俩與該第二陣列的熱傳遞片段的 ΐ複數個可獨立控制的熱電裝置的每一個包含 ΐϊί第一陣列的熱傳遞片麵的—個之—第—表面,c 連該第二ρ車列的熱傳遞片段中的—個之—第二表面,^ :、中該複數個可獨立控㈣熱钱置的每—個一 懲源,以便於該第-表面與該第二表關產生—溫度差異。 ϋΐΐί利範圍第1項之溫度控制系統’其中該熱傳遞單元從 該熱傳遞紐之該ρ流動傳錄量㈣祕遞流體之^二= 23 1357631
100年8月17曰修正替換頁 96110748(無劃線) 動’使得該第一流體溫度小於該整體流體溫度,而^二流體溫7 大於該整體流體溫度。 a皿又 3.如申β眚專利範圍苐1項之溫度控制系統,其中介於該複數個可 獨立控制的熱電裝置之$第-表面與該第二表面間找溫度差 異之大小,係藉由調整從該電源連接至該複數個可獨立控制的埶 電裝置的電流而變化。 … 專^範圍第1項之溫度控制系統,其中介於該熱傳遞流 一极動與該熱傳遞流體之該第二流動間的熱傳遞之方 源賴㈣紐個可獨趙綱熱電装置 5包ί連申1 專?3第1項之溫度控制系統’其中,該熱傳遞單元 ί至Ϊ献交換中’該第一入口與該第二入口連 形成該該鱗歧紅該紐流動分裂 i如:C圍第1項之溫度控制系、統,其中該熱傳遞單元包 ^之一入口,連接至該第一熱傳遞區域與該第一流體通 該第:流:通=:=出口,連接至該第二熱傳遞區域與 至咳第音圍第1項之溫度控制系統,其中該熱交換器連接 出σ與該第二流體通道之—出口,並且用以 /第μ體通道與該第二流體通道到來的該熱傳遞流 24 1357631 100年8月17日修正替換頁 96110748(無劃線) 〇日修正替換頁 &如申請專利範圍第1項其巾該處理元件包含 在該處理系統中之一基板固持器。 9. 如申請專利範圍第8.項之溫度控制系統,其中該第一熱區域鄰 接於該基板之一中央部分,並且該第二熱區域鄰接於該基板之一邊 緣部分。 10. 如申請專利範圍第8項之溫度控制系統,1 包含一 導體晶圓。 八 Π.如申請專概圍第8項之溫度_絲,其巾絲板被該 固持器夾住。 Ί如申請專利範圍帛8項之溫度控制系統.,其中一熱傳遞氣體被 棱供至介於該基板之一背部表面與該基板固持器之一上表面 一空間。 13.如申請專利範圍第1項之溫度控制系統,更包含: 師j制11,連接至雜交換器並且㈣調整雜傳遞流體之該 正體机動之流動速率或該整體流體溫度,或兩者皆調整。 ’14.如申請專利範圍第1項之溫度控制系統,更包含: 兮笛二Ϊΐ器 ',連接至該熱傳遞單元,並用以調整該熱傳遞流體之 ^動速率、該第—流體溫度、該熱傳遞流體之該第二 動速率、或該第二流體溫度、或其中兩個或更多個之任何 15. 一種溫度控制系統,包含: 在處理系統中之-處理7L件之-第-熱區域,其用以接收處 25 100年8月17日修正替換頁 96110748(無劃線) 替, 於第ίίίίίϊΖ熱傳遞_之第一流動; 在該處理糸統中之該處理元件 於第的—熱傳遞流體之第二流^、、=, 第二流;之f與該熱傳遞流體之 段俜开 遞::包含:-第-箱’-第-陣列的熱傳遞片 ίf相中’該等熱傳遞片段係續旋管道般的方式 ίίίΐΐΐ收該熱傳遞流體之第—流動;—第二箱,第二陣列 係形成於該第二箱中’該等熱傳遞片段係以盤旋管 d又的方式串連並用以接收雜傳遞流體之第二流動;以及複數 個熱電裝置,其係!支置在該第—陣列的熱傳遞片段與該第二陣列 的熱傳遞片段之間,該複數個熱電裝置的每一個包含執性連 該第-陣列的熱傳遞片段中的—個之―第—表面,以;^熱性= 至該第二陣列的熱傳遞片段中的一個之>第二表面,以波 其^中該熱傳遞單元係在該第一流動的流動速率之範圍上達每 分鐘50公升、該第二流動的流動速率之範圍上達^^分鐘5〇公升、 及該第一表面與該第二表面之間的溫度差異小於約60°c時,用以 在該第一流動與該第二流動之間達到高達20°C的溫度差異。 十一、圖式: 26 Γ357631
圓式
100 圖1 1357631
圖式
圖2 第2頁 1357631
圊式
3§0.
圖3A 1357631 式 圈 B3B
頁 第 1357631 囫式 359
圖3C ·«
圖3D 1357631 圓式
圖4 第b頁 1357631 Λ
圊式
200 • . I 圖5 第η頁 1357631 ·« 圓式
300 圖6 第!?頁 1357631
圈式
圖7 第G頁 1357631 圓式 •t
500 圖8 第1<9頁 1357631 圊式
第丨ί頁 1357631
圓式 900
圖10
TW096110748A 2006-03-28 2007-03-28 Multi-zone substrate temperature control system an TWI357631B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/390,471 US8343280B2 (en) 2006-03-28 2006-03-28 Multi-zone substrate temperature control system and method of operating

Publications (2)

Publication Number Publication Date
TW200807613A TW200807613A (en) 2008-02-01
TWI357631B true TWI357631B (en) 2012-02-01

Family

ID=38573889

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096110748A TWI357631B (en) 2006-03-28 2007-03-28 Multi-zone substrate temperature control system an

Country Status (6)

Country Link
US (1) US8343280B2 (zh)
JP (1) JP2009531867A (zh)
KR (1) KR20080114795A (zh)
CN (1) CN101410190A (zh)
TW (1) TWI357631B (zh)
WO (1) WO2007117740A2 (zh)

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283553B1 (en) * 2007-09-21 2012-10-09 Hrl Laboratories, Llc Photon enhanced thermoelectric power generation
DE102007059717B4 (de) * 2007-12-12 2011-02-03 R3T Gmbh Rapid Reactive Radicals Technology Vorrichtung und Verfahren zur Herstellung von Mikrobauteilen sowie Verwendung einer derartigen Vorrichtung
JP4263761B1 (ja) * 2008-01-17 2009-05-13 トヨタ自動車株式会社 減圧式加熱装置とその加熱方法および電子製品の製造方法
US8650886B2 (en) * 2008-09-12 2014-02-18 Rockwell Collins, Inc. Thermal spreader assembly with flexible liquid cooling loop having rigid tubing sections and flexible tubing sections
US8616266B2 (en) * 2008-09-12 2013-12-31 Rockwell Collins, Inc. Mechanically compliant thermal spreader with an embedded cooling loop for containing and circulating electrically-conductive liquid
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5405667B2 (ja) * 2010-07-22 2014-02-05 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
CN102412169B (zh) * 2010-09-21 2014-05-28 和舰科技(苏州)有限公司 一种具有温度自动控制功能的晶片加工机台
CN102009941B (zh) * 2010-10-09 2013-12-11 北京大学 微纳米流体系统及其制备方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN102136668A (zh) * 2011-03-06 2011-07-27 四川大学 薄片激光器激光介质的温度控制方法及其装置
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5969488B2 (ja) * 2011-10-05 2016-08-17 京セラ株式会社 試料保持具
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN102509714B (zh) * 2011-11-18 2014-08-27 中微半导体设备(上海)有限公司 快速控制静电吸盘温度的装置及方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9377423B2 (en) * 2012-12-31 2016-06-28 Cascade Microtech, Inc. Systems and methods for handling substrates at below dew point temperatures
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
KR102397854B1 (ko) * 2014-02-14 2022-05-12 어플라이드 머티어리얼스, 인코포레이티드 안정화된 고온 증착을 위한 가스 냉각식 기판 지지부
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10006717B2 (en) 2014-03-07 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking system and method of using the same
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
CN105336562B (zh) * 2014-07-22 2018-03-09 中芯国际集成电路制造(北京)有限公司 热处理腔室和热处理方法、涂布设备
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
DE102015100640A1 (de) * 2015-01-19 2016-07-21 Aixtron Se Vorrichtung und Verfahren zum thermischen Behandeln von Substraten
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN104965990B (zh) * 2015-07-10 2018-08-17 福州大学 一种采用纳米流体强化微纳米尺度通道传热的方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US20170051402A1 (en) * 2015-08-17 2017-02-23 Asm Ip Holding B.V. Susceptor and substrate processing apparatus
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170248973A1 (en) * 2016-02-29 2017-08-31 Cascade Microtech, Inc. Probe systems and methods including active environmental control
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP2018076995A (ja) * 2016-11-08 2018-05-17 株式会社ナカヤ 遠隔制御によるエリア別パラメータ制御方式チラーを用いた循環液温度制御方法及びメンテナンス方法。
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10658168B2 (en) 2018-05-03 2020-05-19 Perkinelmer Health Sciences Canada, Inc. Multiple gas flow ionizer
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102161537B1 (ko) * 2018-11-16 2020-10-05 (주)엠크래프츠 전자현미경용 시료대
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2021149467A (ja) * 2020-03-18 2021-09-27 株式会社Kelk 温度制御システム
US11493551B2 (en) 2020-06-22 2022-11-08 Advantest Test Solutions, Inc. Integrated test cell using active thermal interposer (ATI) with parallel socket actuation
CN113960884B (zh) * 2020-07-21 2024-05-14 长鑫存储技术有限公司 温度调控系统及温度调控方法
US11549981B2 (en) 2020-10-01 2023-01-10 Advantest Test Solutions, Inc. Thermal solution for massively parallel testing
US11821913B2 (en) 2020-11-02 2023-11-21 Advantest Test Solutions, Inc. Shielded socket and carrier for high-volume test of semiconductor devices
US11808812B2 (en) 2020-11-02 2023-11-07 Advantest Test Solutions, Inc. Passive carrier-based device delivery for slot-based high-volume semiconductor test system
US20220155364A1 (en) 2020-11-19 2022-05-19 Advantest Test Solutions, Inc. Wafer scale active thermal interposer for device testing
US11567119B2 (en) 2020-12-04 2023-01-31 Advantest Test Solutions, Inc. Testing system including active thermal interposer device
US11573262B2 (en) 2020-12-31 2023-02-07 Advantest Test Solutions, Inc. Multi-input multi-zone thermal control for device testing
US11587640B2 (en) 2021-03-08 2023-02-21 Advantest Test Solutions, Inc. Carrier based high volume system level testing of devices with pop structures
US11656273B1 (en) 2021-11-05 2023-05-23 Advantest Test Solutions, Inc. High current device testing apparatus and systems
CN114675686B (zh) * 2022-03-18 2023-06-13 长江存储科技有限责任公司 用于多腔室的温度控制系统以及温度控制方法
US11828795B1 (en) 2022-10-21 2023-11-28 AEM Holdings Ltd. Test system with a thermal head comprising a plurality of adapters for independent thermal control of zones
US11656272B1 (en) 2022-10-21 2023-05-23 AEM Holdings Ltd. Test system with a thermal head comprising a plurality of adapters and one or more cold plates for independent control of zones
US11693051B1 (en) 2022-10-21 2023-07-04 AEM Holdings Ltd. Thermal head for independent control of zones
US11796589B1 (en) 2022-10-21 2023-10-24 AEM Holdings Ltd. Thermal head for independent control of zones
US11828796B1 (en) 2023-05-02 2023-11-28 AEM Holdings Ltd. Integrated heater and temperature measurement

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3175576D1 (en) 1980-12-11 1986-12-11 Toshiba Kk Dry etching device and method
KR900002143B1 (ko) 1985-03-29 1990-04-02 미쯔비시 덴끼 가부시기가이샤 덕트식 멀티조온 공조시스템
JPH0834205B2 (ja) 1986-11-21 1996-03-29 株式会社東芝 ドライエツチング装置
KR910006164B1 (ko) 1987-03-18 1991-08-16 가부시키가이샤 도시바 박막형성방법과 그 장치
TW204411B (zh) 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5622639A (en) 1993-07-29 1997-04-22 Tokyo Electron Kabushiki Kaisha Heat treating apparatus
JPH0786174A (ja) 1993-09-16 1995-03-31 Tokyo Electron Ltd 成膜装置
KR960002534A (ko) 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US5928427A (en) 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
US5733426A (en) 1995-05-23 1998-03-31 Advanced Micro Devices, Inc. Semiconductor wafer clamp device and method
WO1998005060A1 (en) * 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
US5876879A (en) 1997-05-29 1999-03-02 International Business Machines Corporation Oxide layer patterned by vapor phase etching
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6074951A (en) 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6527865B1 (en) 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6383300B1 (en) 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
US20020011216A1 (en) 1999-06-04 2002-01-31 Tue Nguyen Integral susceptor-wall reactor system and method
US6284006B1 (en) 1999-11-15 2001-09-04 Fsi International, Inc. Processing apparatus for microelectronic devices in which polymeric bellows are used to help accomplish substrate transport inside of the apparatus
US20010016226A1 (en) 1999-12-15 2001-08-23 International Business Machines Corporation Method for preparing the surface of a dielectric
WO2001050109A2 (en) 2000-01-05 2001-07-12 Tokyo Electron Limited Wafer band-edge measurement using spectroscopy and a process of uniform wafer temperature control
US6245619B1 (en) 2000-01-21 2001-06-12 International Business Machines Corporation Disposable-spacer damascene-gate process for SUB 0.05 μm MOS devices
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6271094B1 (en) 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
AU2001247226A1 (en) 2000-03-20 2001-10-03 Tokyo Electron Limited High speed stripping for damaged photoresist
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP4672113B2 (ja) 2000-07-07 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマ処理装置
US6936134B2 (en) 2000-11-14 2005-08-30 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6672076B2 (en) * 2001-02-09 2004-01-06 Bsst Llc Efficiency thermoelectrics utilizing convective heat flow
US20020195201A1 (en) 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
JP3910925B2 (ja) 2003-02-25 2007-04-25 株式会社日立ハイテクノロジーズ プラズマ処理装置
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
CN100533683C (zh) 2003-04-22 2009-08-26 东京毅力科创株式会社 硅氧化膜的去除方法
US20050218113A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US20050227494A1 (en) 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US20050211264A1 (en) 2004-03-25 2005-09-29 Tokyo Electron Limited Of Tbs Broadcast Center Method and processing system for plasma-enhanced cleaning of system components
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control

Also Published As

Publication number Publication date
CN101410190A (zh) 2009-04-15
KR20080114795A (ko) 2008-12-31
WO2007117740A3 (en) 2007-12-27
US8343280B2 (en) 2013-01-01
WO2007117740A2 (en) 2007-10-18
JP2009531867A (ja) 2009-09-03
TW200807613A (en) 2008-02-01
US20070235134A1 (en) 2007-10-11

Similar Documents

Publication Publication Date Title
TWI357631B (en) Multi-zone substrate temperature control system an
JP4772779B2 (ja) 温度制御方法及び温度制御装置
US20060027169A1 (en) Method and system for substrate temperature profile control
US8450657B2 (en) Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US8207476B2 (en) Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7893387B2 (en) High rate method for stable temperature control of a substrate
KR101957526B1 (ko) 반도체 기판 지지체의 온도 제어를 위한 장치 및 방법
US7297894B1 (en) Method for multi-step temperature control of a substrate
KR101783077B1 (ko) 화학 증착 제어용 장치 및 방법
US10835901B2 (en) Apparatuses, systems and methods for providing thermocycler thermal uniformity
TWI495752B (zh) 具有可作為溫度控制用之流體區的工作支承
TW201250422A (en) Methods and apparatus for controlling temperature of a multi-zone heater in a process chamber
TW201033398A (en) Improved substrate temperature control by using liquid controlled multizone substrate support
TW201019410A (en) Apparatus and method for controlling temperature of semiconductor wafer
WO2008112673A2 (en) Dynamic temperature backside gas control for improved within-substrate processing uniformity
TW200847314A (en) Processing system and method for performing high throughput non-plasma processing
TW201207938A (en) Plasma processing chamber component having adaptive thermal conductor
US7674636B2 (en) Dynamic temperature backside gas control for improved within-substrate process uniformity
TW201013813A (en) High throughput thermal treatment system and method of operating
TW200949974A (en) Stage for substrate temperature control apparatus
US20120312234A1 (en) Process gas diffuser assembly for vapor deposition system
TW201005859A (en) High throughput chemical treatment system and method of operating
TWI755996B (zh) 用以產生均勻溫度的晶圓承載盤及應用該晶圓承載盤的薄膜沉積裝置
TWI768786B (zh) 可準確調整溫度的承載盤及應用該承載盤的薄膜沉積裝置
US20140116339A1 (en) Process gas diffuser assembly for vapor deposition system

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees