KR20080114795A - 멀티 존 기판 온도 제어 시스템 및 그 조작 방법 - Google Patents

멀티 존 기판 온도 제어 시스템 및 그 조작 방법 Download PDF

Info

Publication number
KR20080114795A
KR20080114795A KR1020087024875A KR20087024875A KR20080114795A KR 20080114795 A KR20080114795 A KR 20080114795A KR 1020087024875 A KR1020087024875 A KR 1020087024875A KR 20087024875 A KR20087024875 A KR 20087024875A KR 20080114795 A KR20080114795 A KR 20080114795A
Authority
KR
South Korea
Prior art keywords
heat transfer
fluid
temperature
flow
coupled
Prior art date
Application number
KR1020087024875A
Other languages
English (en)
Inventor
šœ이치 이이무로
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20080114795A publication Critical patent/KR20080114795A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Control Of Temperature (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)

Abstract

기판의 온도를 멀티 존에서 제어하기 위한 방법 및 시스템을 개시한다. 온도 제어 시스템은, 기판을 지지하도록 구성된 기판 홀더 내의 2개 이상의 유체 채널에 결합된 열교환기를 구비한다. 열교환기는 2개 이상의 유체 채널을 통하여 흐르는 열전달 유체의 온도를 조정하도록 구성되어 있다. 온도 제어 시스템은, 열교환기로부터 벌크 유체 온도의 열전달 유체를 수용하도록 구성되는 입구를 갖는 열전달 유닛을 더 포함한다. 또한, 열전달 유닛은 벌크 온도 미만의 제1 온도를 갖는 열전달 유체의 일부를 2개 이상의 유체 채널 중 제1 유체 채널에 결합하도록 구성된 제1 출구와, 벌크 유체 온도 초과의 제2 온도를 갖는 열전달 유체의 나머지 부분을 2개 이상의 유체 채널 중 제2 유체 채널에 결합하도록 구성된 제2 출구를 포함한다.

Description

멀티 존 기판 온도 제어 시스템 및 그 조작 방법{MULTI-ZONE SUBSTRATE TEMPERATURE CONTROL SYSTEM AND METHOD OF OPERATING}
본원은 2006년 3월 28일자로 출원된 미국 특허 출원 제11/390,471호를 기초로 하며, 이 출원의 출원일의 이득을 얻고 있다. 이 출원의 전체 내용은 본원 명세서에 전체적으로 참고로 인용된다. 본원은, 2004년 8월 6일자로 출원되고 발명의 명칭이 "Method and System for Substrate Temperature Profile Control"인 미국 특허 출원 제10/912,182호(ES-005); 2003년 11월 26일자로 출원되고 발명의 명칭이 "Thermally Zoned Substrate Holder Assembly"인 미국 특허 출원 제10/721,500호(PC0204A); 2003년 3월 28일자로 출원되고 발명의 명칭이 "Method and System for Temperature Control of a Substrate"인 미국 가출원 제60/458,043호(PC0262A); 2001년 1월 5일자로 출원되고 발명의 명칭이 "Method of Wafer Band-edge Measurement Using Transmission Spectroscopy and a Process for Controlling the Temperature Uniformity of a Wafer"인 미국 특허 출원 제10/168,544호(PC0003B)에 관한 것이며, 이들 출원의 전체 내용은 본원 명세서에 참고로 인용된다.
본 발명은 기판의 온도를 제어하기 위한 방법 및 시스템에 관한 것으로, 보다 구체적으로 기판의 온도를 제어하기 위한 기판 홀더에 관한 것이다.
반도체 제작 및 처리에 있어서는, 예컨대 에칭 및 증착 공정을 포함한 다양한 공정이 기판의 온도에 상당히 의존하는 것으로 알려져 있다. 기판의 온도는, 이온 충격을 포함한 플라즈마 공정, 복사, 대류 및 전도를 포함한 서멀 공정(thermal process), 기판의 표면에서 발생하는 화학 반응을 포함한 화학 공정과 같은 수많은 공정에 의해 영향을 받는다. 기판 홀더의 상면에 적절한 온도를 부여함으로써 기판의 온도를 제어할 수 있다.
실시예에 따르면, 온도 제어 시스템은, 처리 시스템에 있어서의 처리 요소의 제1 서멀 영역(thermal region)에 결합되고, 제1 유체 온도를 갖는 제1 흐름의 열전달 유체를 수용하도록 구성되는 제1 유체 채널을 구비한다. 제2 유체 채널이 처리 시스템에 있어서의 처리 요소의 제2 서멀 영역에 결합되고, 제2 유체 온도를 갖는 제2 흐름의 열전달 유체를 수용하도록 구성된다. 열교환기 유닛이 벌크 유체 온도를 갖는 벌크 흐름(bulk flow)의 열전달 유체를 제공하도록 구성되며, 벌크 흐름의 상기 열전달 유체는 제1 흐름의 열전달 유체와 제2 흐름의 열전달 유체를 공급한다. 열전달 유닛이 열교환기에 결합되고 벌크 흐름의 열전달 유체를 수용하도록 구성되며, 이 열전달 유닛은 제1 흐름이 통과하는 제1 열전달 영역과 제2 흐름이 통과하는 제2 열전달 영역 사이에서 열을 전달함으로써 제1 유체 온도를 갖는 제1 흐름의 열전달 유체와 제2 유체 온도를 갖는 제2 흐름의 열전달 유체를 제공하도록 구성된다.
다른 실시예에 따르면, 방법 및 컴퓨터 판독 가능한 매체의 프로그램 명령어가 온도 제어 시스템을 이용하여 기판 홀더에 유지된 기판의 온도를 제어한다. 제1 유체 채널에 제1 열전달 유체를 제공한다. 제2 유체 채널에 제2 열전달 유체를 제공한다. 열전달 유닛을 이용하여, 제1 열전달 유체의 제1 유체 온도를 제2 열전달 유체의 제2 유체 온도에 대하여 제어한다.
또 다른 실시예에 따르면, 온도 제어 시스템은, 제1 유체 온도를 갖는 제1 흐름의 열전달 유체를 수용하도록 구성되는, 처리 시스템에 있어서의 처리 요소의 제1 서멀 영역을 포함한다. 처리 시스템에 있어서의 처리 요소의 제2 서멀 영역이 제2 유체 온도를 갖는 제2 흐름의 열전달 유체를 수용하도록 구성된다. 열전달 유닛은, 제1 유체 온도가 제2 유체 온도와 상이하도록 제1 흐름의 열전달 유체와 제2 흐름의 열전달 유체 사이에서 열을 전달한다.
도 1은 본 발명의 실시예에 따른 기판 홀더를 구비하는 처리 시스템을 단순화한 블록도를 도시하고,
도 2는 본 발명의 실시예에 따른 펠티에 모듈을 개략적으로 도시하는 도면이고,
도 3a는 본 발명의 실시예에 따른 열전달 유닛의 평면도를 도시하고,
도 3b는 도 3a에 도시된 열전달 유닛의 단면도를 도시하고,
도 3c는 도 3a에 도시된 열전달 유닛의 단부도를 도시하고,
도 3d는 도 3a 및 도 3c에 도시된 열전달 유닛의 반대측 단부도를 도시하고,
도 4는 본 발명의 다른 실시예에 따른 열전달 유닛의 부분 단면도를 도시하고,
도 5는 본 발명의 다른 실시예에 따른 기판 홀더를 구비하는 처리 시스템을 단순화한 블록도를 도시하고,
도 6은 본 발명의 다른 실시예에 따른 기판 홀더를 구비하는 처리 시스템을 단순화한 블록도를 도시하고,
도 7은 본 발명의 다른 실시예에 따른 기판 홀더를 구비하는 처리 시스템을 단순화한 블록도를 도시하고,
도 8은 본 발명의 다른 실시예에 따른 기판 홀더를 구비하는 처리 시스템을 단순화한 블록도를 도시하고,
도 9는 제1 및 제2 서멀 존을 포함하는 제1 및 제2 유체 채널의 예시적인 기하형상을 도시하고,
도 10은 본 발명의 실시예에 따른 온도 제어 시스템을 조작하는 방법을 예시한다.
이하의 설명에서는, 발명의 철저한 이해를 돕고, 한정의 의도가 없는 설명을 목적으로, 처리 요소의 특정 기하형상과 처리 요소에 있어서의 온도 제어 요소의 다양한 형상과 같은 특정의 세부 사항을 설명하고 있다. 그러나 본 발명을 이들 특정의 세부 사항으로부터 벗어나는 다른 실시예로 실행할 수 있다는 것을 이해해야 한다.
이외에도, 발명의 본질이 일반적 개념으로서 설명되어 있지만, 발명의 본질로 이루어지는 형태도 상세한 설명에 포함되는 것으로 이해해야 한다.
본 발명의 실시예에 따르면, 도 1에는 기판 홀더(120)를 갖고 이 기판 홀더에 기판(135)이 지지되어 있는 공정 툴(110)을 구비하는 재료 처리 시스템(100)이 도시되어 있다. 기판 홀더(120)는, 재료 처리 시스템(100) 내의 기판 온도의 온도 프로파일을 제어하거나 및/또는 기판 온도를 신속하게 조정하도록 기판 홀더(120) 내에 배치된 적어도 2개의 서멀 존(thermal zone)을 제공하도록 구성되어 있다. 서멀 존은 예컨대, 저항성 가열 소자 또는 냉각 소자, 및/또는 몇 개의 열전 소자 등과 같은 추가의 온도 제어 소자를 구비하거나 구비하지 않고 열전달 유체를 미리 정한 유량 및 온도로 순환시키기 위한 유체 채널을 각각 포함할 수 있다. 설명을 명확하게 하기 위하여, 각 기판 홀더 내의 유체 채널이 서멀 존을 나타내고, 도 1, 도 5, 도 6, 도 7 및 도 8에 예시되어 있다.
도 1에 도시된 예시적인 실시예에 있어서, 재료 처리 시스템(100)은 반도체 처리 시스템이 집적 회로(IC)를 제조하는 것을 용이하게 할 수 있다. 예컨대, 재료 처리 시스템(100)은 건식 (플라즈마 또는 비플라즈마) 에칭 시스템을 포함할 수 있다. 대안으로, 재료 처리 시스템(100)은, PAB(Post-Adhesion Bake) 또는 PEB(Post-Exposure Bake)에 사용될 수 있는 포토레지스트 스핀 코팅 시스템 내의 가열/냉각 모듈과 같은 포토레지스트 코팅 챔버; 자외선(UV) 리소그래피 시스템과 같은 포토레지스트 패터닝 챔버; SOG(Spin-On Glass) 시스템, SOD(Spin-On Dielectric) 시스템과 같은 유전체 코팅 챔버; CVD(Chemical Vapor Deposition) 시 스템, PVD(Physical Vapor Deposition) 시스템, PECVD(Plasma Enhanced Chemical Vapor Deposition) 시스템, ALD(Atomic Layer Deposition) 시스템, PEALD(Plasma Enhanced Atomic Layer Deposition) 시스템과 같은 증착 챔버; 또는 서멀 어닐링을 위한 RTP(Rapid Thermal Processing) 시스템과 같은 RTP 챔버를 포함한다.
도 1에 도시된 예시적인 실시예에 따르면, 재료 처리 시스템(100)은, 처리 대상의 기판(135)이 부착되어 있는 기판 홀더(120)를 갖춘 소정 공정 용적(115)의 공정 툴(110)과, 가스 주입 시스템(118) 및 진공 펌핑 시스템(130)을 포함한다. 기판(135)은 반도체 웨이퍼일 수도 있고 액정 디스플레이(LCD)일 수도 있다.
기판 홀더(120)는 기판(135)을 지지하고 기판의 온도를 제어하도록 구성되어 있다. 기판 홀더(120)를 위한 온도 제어 시스템은 기판 홀더(120) 내의 2개 이상의 유체 채널에 결합된 열교환기 및 열전달 유닛을 포함하며, 이들은 하나의 유체 채널을 통한 유체 흐름으로부터 다른 유체 채널을 통한 유체 흐름으로 열을 전달함으로써 하나의 채널을 통하여 흐르는 열전달 유체의 온도를 다른 유체 채널을 통하여 흐르는 열전달 유체의 온도에 대하여 조정하도록 구성되어 있다.
도 1에 도시된 바와 같이, 기판 홀더(120)는, 실질적으로 원형이고 기판 홀더(120)의 중앙 서멀 존에 위치된 제1 유체 채널(140)과, 기판 홀더(120)의 둘레(가장자리) 서멀 존에 위치하고 제1 유체 채널(140)의 둘레에 동심으로 배치된 제2 유체 채널(145)을 포함한다. 제1 유체 채널(140)은, 대응 입구(141)에서 기판 홀더(120)에 공급되고 대응 출구(142)에서 기판 홀더(120)로부터 복귀하는 열전달 유체를 순환시키도록 구성되어 있다. 제2 유체 채널(145)은, 대응 입구(146)에서 기 판 홀더(120)에 공급되고 대응 출구(147)에서 기판 홀더(120)로부터 복귀하는 열전달 유체를 순환시키도록 구성되어 있다.
열교환기(150)는 열교환기(150)의 출구(157)에서 벌크 유체 온도 및 벌크 유량을 갖는 벌크 흐름의 열전달 유체를 제공하도록 구성된다. 또한, 열교환기(150)는 제1 유체 채널(140)의 출구(142) 및 제2 유체 채널(145)의 출구(147)로부터 입구(156)를 통하여 열전달 유체를 수용하도록 구성될 수 있다. 출구(142, 147)로부터의 열전달 유체는 열교환기(150)로 배출될 수도 있고, 별도의 수집 욕으로 배출될 수도 있다. 예컨대, 열교환기(150)는 Daikin Industries Limited에서 상업적으로 판매하는 모델 번호 UBRPD5A-1T4 칠러(chiller)를 포함할 수 있다. 열교환기(150)는 물과 같은 열전달 유체, 또는 Fluorinert, Galden HT-135, 또는 Galden HT-200과 같은 유전체 유체와 함께 동작하도록 구성될 수 있다. 당업자라면 이해할 수 있듯이, 열전달 유체는 기판 홀더(120)의 내외로 열을 운반하도록 구성된 임의의 유체일 수 있다.
열교환기(150)로부터 나온 열전달 유체의 흐름 중 일부는 열전달 유닛(155)의 제1 입구(158)에 들어가서 열전달 유닛(155)의 제1 열전달 영역을 통과하는 제1 흐름의 열전달 유체를 형성하고, 열교환기(150)로부터 나온 열전달 유체의 흐름 중 다른 부분은 열전달 유닛(155)의 제2 입구(159)에 들어가서 열전달 유닛(155)의 제2 열전달 영역을 통과하는 제2 흐름의 열전달 유체를 형성한다. 예컨대, 하나 이상의 밸브와 선택적으로 하나 이상의 유량 측정 장치를 활용하여, 제1 입구(158)에 들어가는 열전달 유체의 흐름의 분율을 제2 입구(159)에 들어가는 열전달 유체의 흐름의 분율에 대하여 조정할 수 있다.
열전달 유닛(155)은 제1 흐름의 열전달 유체로부터 제2 흐름의 열전달 유체로 열을 전달하도록 구성되어, 제1 유체 채널(140)의 입구(141)에서 열전달 유닛(155)을 나가는 제1 흐름의 열전달 유체가 벌크 유체 온도 이하의 제1 유체 온도에 도달하고, 제2 유체 채널(145)의 입구(147)에서 열전달 유닛(155)을 나가는 제2 흐름의 열전달 유체가 벌크 유체 온도 이상의 제2 유체 온도에 도달할 수 있다. 대안으로, 열전달 유닛(155)은 제2 흐름의 열전달 유체로부터 제1 흐름의 열전달 유체로 열을 전달하도록 구성되어, 제1 유체 채널(140)의 입구(141)에서 열전달 유닛(155)을 나가는 제1 흐름의 열전달 유체가 벌크 유체 온도 이상의 제1 유체 온도에 도달하고, 제2 유체 채널(145)의 입구(147)에서 열전달 유닛(155)을 나가는 제2 흐름의 열전달 유체가 벌크 유체 온도 이하의 제2 유체 온도에 도달한다. 열전달 유닛(155)은 제1 흐름의 열전달 유체와 제2 흐름의 열전달 유체 사이에서 어느 방향으로든 열을 전달하도록 구성될 수 있다.
일 실시예에 있어서, 열전달 유닛(155)은 하나 이상의 열전 소자를 포함한다. 하나 이상의 열전 소자는 제1 흐름의 열전달 유체의 온도를 제2 흐름의 열전달 유체의 온도에 대하여 조정하도록 구성되어 있다. 각각의 열전 소자는, 제1면이 열전달 유닛(155)의 제1 열전달 영역에 열적으로 결합되고 제2면이 열전달 유닛(155)의 제2 열전달 영역에 열적으로 결합될 수 있다. 예컨대, 열전 소자는 (제1면과 제2면 사이의) 온도차를 (대략) 수십 도(50℃-60℃), 또는 심지어 백도 정도로 유지할 수 있다.
따라서 이러한 온도차는, 예컨대 기판 홀더의 중앙 서멀 존과 기판 홀더의 둘레 서멀 존 사이에 상당한 온도차를 야기할 수 있다. 조작 시에, 열전 소자에는, 제1 유체 채널(140) 및 제2 유체 채널(145)에 공급되는 열전달 유체의 온도를 제어하는 데에 필요한 임의의 온도차를 보상하거나 유지하기 위하여 변경되는 전류 및 전압이 공급된다. 예컨대, 또한 열전 소자는 이러한 온도를 열교환기(150)보다 빠르게 조절할 수도 있다.
복수의 열전 소자는 펠티에 모듈을 포함할 수 있다. 펠티에 모듈은 히트 펌프로서 기능하는 작은 고체 소자(solid-state device)이다. 이들 모듈은, 일부 경우에 두 조인트 재료에 전압을 인가하면 접촉 영역 근처에서의 극성에 따라 열 방출이나 열 흡수가 발생한다는 사실을 기초로 하고 있다. 실시예에 따른 펠티에 모듈이 도 2에 도시되어 있다. 이 펠티에 모듈(190)은, 도체(193a, 193b)에 의해 연결되고 세라믹 층(194, 195) 사이에 에워싸여 있는 p-타입(191) 및 n-타입(192)의 반도체 재료를 포함한다. 조작 시에, 펠티에 모듈에 전력이 공급되면, 소자의 일측은 더 차가워지는 반면에, 소자의 타측은 더 뜨거워진다. 전압 극성을 변경하면 효과가 역전되어, 열 흡수측이 열 방출측으로 되고, 열 방출측이 열 흡수측으로 된다. 이들 고체 소자에 의해, 가열 파워와 냉각 파워는 전력 또는 인가 전압에 대략 직접적으로 비례한다.
이제 도 3a 내지 도 3d를 참고하면, 일 실시예에 따른 열전달 유닛(350)이 도시되어 있다. 도 3a는 열전달 유닛(350)의 평면도를 도시하고, 도 3b는 (도 3a에 도시된 바와 같은) 열전달 유닛(350)의 단면도를 도시하며, 도 3c 및 도 3d는 열전달 유닛(350)의 양측 단부도를 도시하고 있다. 열전달 유닛(350)은, 열전달 유닛 하우징(310)에 형성된 제1 배열의 열전달 세그먼트(320A 내지 320J)와 제1 배열의 도관 엘보우(324A 내지 324I)를 갖는 제1 유체 도관을 포함한다. 제1 유체 도관은 제1 입구(358)로부터 제1 유량 및 벌크 유체 온도의 열전달 유체를 수용하고, 제1 출구(362)를 통하여 제1 유량 및 (벌크 유체 온도와 상이한) 제1 유체 온도의 열전달 유체를 배출한다. 열은 제1 배열의 열전달 세그먼트(320A 내지 320J)를 통하여 제1 흐름의 열전달 유체에 전달되거나 이 열전달 유체로부터 제거된다. 제1 유체 도관이 10개의 열전달 세그먼트를 구비하는 것으로 도시되어 있지만, 제1 유체 도관은 10개 초과 또는 10개 미만의 열전달 세그먼트를 구비할 수도 있다.
열전달 유닛(350)은, 제2 배열의 열전달 세그먼트(322A 내지 322J)와 제2 배열의 도관 엘보우(326A 내지 326I)를 갖는 제2 유체 도관을 포함한다. 제2 유체 도관은 제2 입구(359)로부터 제2 유량 및 벌크 유체 온도의 열전달 유체를 수용하고, 제2 출구(363)를 통하여 제2 유량 및 (벌크 유체 온도와 상이한) 제2 유체 온도의 열전달 유체를 배출한다. 열은 제2 배열의 열전달 세그먼트(322A 내지 322J)를 통하여 제2 흐름의 열전달 유체에 전달되거나 이 열전달 유체로부터 제거된다. 제2 유체 도관이 10개의 열전달 세그먼트를 구비하는 것으로 도시되어 있지만, 제2 유체 도관은 10개 초과 또는 10개 미만의 열전달 세그먼트를 구비할 수도 있다.
열전달 유닛(350)은 제1 배열의 열전달 세그먼트(320A 내지 320J)와 제2 배열의 열전달 세그먼트(322A 내지 322J)의 사이에 배치된 소정 배열의 열전 소자(328A 내지 328J)를 더 포함한다. 소정 배열의 열전 소자(328A 내지 328J)는 제 1 흐름의 열전달 유체와 제2 흐름의 열전달 유체 사이에서 열을 전달하도록 구성되어 있다. 예컨대, 소정 배열의 열전 소자(328A 내지 328J)의 각 부재는 복수의 펠티에 모듈을 포함할 수 있다.
이제 도 4를 참조하면, 열전달 유닛의 부분 단면도가 도시되어 있다. 열전달 유닛은 제1 배열의 하나 이상의 열전달 세그먼트(420)가 내부에 형성되어 있는 상부 하우징(410)과, 제2 배열의 하나 이상의 열전달 세그먼트(422)가 내부에 형성되어 있는 하부 하우징(412)을 포함한다. 하나 이상의 열전 소자(428)가 제1 배열의 하나 이상의 열전달 세그먼트(420)와 제2 배열의 하나 이상의 열전달 세그먼트(422)의 사이에 배치되어 있다. 상부 하우징(410)과 하부 하우징(412)은 하나 이상의 단열 부재(430)에 의해 더욱 분리되어 있다. 하나 이상의 열전 소자(428)는, 제2 배열의 하나 이상의 열전달 세그먼트(422)를 통한 제2 흐름의 열전달 유체의 온도에 대하여 제1 배열의 하나 이상의 열전달 세그먼트(420)를 통한 제1 흐름의 열전달 유체의 온도를 조정하도록 구성되어 있다. 각각의 열전 소자는, 제1면(4281)이 제1 배열의 하나 이상의 열전달 세그먼트(420)(제1 열전달 영역)에 열적으로 결합되고, 제2면(4282)이 제2 배열의 하나 이상의 열전달 세그먼트(제2 열전달 영역)에 열적으로 결합될 수 있다.
일례로서, 도 3a 내지 도 3d에 도시된 바와 같은 구성을 갖는 열전달 유닛을 설명한다. 제1 도관은 (도 3a에 도시된 바와 같이) 10개의 열전달 세그먼트를 포함하며, 각 열전달 세그먼트의 치수는, 대략 600 mm의 길이(I)와, 대략 폭(W) 50 mm, 대략 높이(H) 3 mm의 단면을 갖는다. 단면이 직사각형으로 도시되어 있지만, 원형을 포함한 수많은 형상의 단면을 가질 수도 있다. 이들 치수는 1/2 인치 직경의 튜브와 대략 동등한 단면적(Ah)(즉, 대략 150 mm2)을 제공한다. 예컨대, 제1 입구(358) 또는 제2 입구(359), 또는 제1 출구(362) 또는 제2 출구(363), 또는 제1 또는 제2 배열의 도관 엘보우(324A 내지 324I, 326A 내지 326I) 중 어느 하나의 내경은 1/2 인치(즉, 대략 12.5 mm)일 수 있다. 예컨대, 입구, 출구, 도관 엘보우 및 열전달 세그먼트는 대략 동일한 단면적을 갖도록 설계될 수 있다. 제1 도관이 10개의 열전달 세그먼트를 포함하기 때문에, 유효 길이(L)는 10*I, 즉 6000 mm로 된다. 따라서, 예컨대 하나 이상의 열전 소자의 제1면 또는 제2면일 수 있는 열전달면에 직접 결합된 습윤 표면적(Aw)은 Aw=L*W=3125 mm2으로 된다.
또한, 본 예에서, 제2 도관은 (도 3b에 도시된 바와 같이) 10개의 열전달 세그먼트를 구비하며, 각 열전달 세그먼트의 치수는 제1 도관과 동일하게 구성된다. 소정 배열의 열전 소자(예컨대, 펠티에 모듈)가 도 3a 및 도 3b에 도시된 바와 같이 제1 도관과 제2 도관의 사이에 배치되어 있다. 특히, 예컨대 소정 배열의 대략 12개의 펠티에 모듈(50 mm*50 mm)이 제1 및 제2 도관에 대하여 각 열전달 세그먼트 사이에 위치될 수 있다.
열전달 유닛의 기하형상이 주어지는 경우에, 표 1은, 제1 유체 온도와 제2 유체 온도 사이의 5℃의 온도차(△T1)를 각 도관(즉, 제1 및 제2 도관)을 통한 용적 유량(V)의 함수로서 얻기 위하여 필요한 각 펠티에 모듈을 가로지른 온도 변화(△ T2)를 나타내고 있다.
Figure 112008070796012-PCT00001
표 1은 용적 유량(V; liter per minute, lpm; 및 cubic meters per second, m3/sec), 질량 유량(m=ρ*V)[열전달 유체, Galden HT-200을 이용할 때: 20℃에 대하여, 밀도 ρ=1840 Kg/m3; 열전도율 k= 0.066 J/m·K; 동점도 ν=2.0E-06 m2/sec; 비열 cp=1047 J/Kg·K; 프란틀 수=58.4], 유동 속도[u=m/(ρAh)](meter per second; m/s)를 제공한다. 이들 유동 파라미터로부터, [수력 직경(dh=sqrt(4*Ah/π))을 기초로 하는] 레이놀즈 수(Re=u*dh/ν), [관 내의 난류에 대한 경험식을 기초로 하는] 누셀트 수(Nu=0.023*Re4/5*Pr0.3)를 결정하고, 이로부터 열전달면의 습윤 영역에 형성된 유체 경계층을 통한 열 운반에 대한 열전달 계수(h=Nu*k/dh)(J/m2·K)를 계산할 수 있다.
이제, 소정의 질량 유량(m)에 대하여 유체 온도를 벌크 유체 온도(Tb)로부터 제1 유체 온도(T1)[또는 제2 유체 온도(T2)]로 조정하기 위하여 열전달 유체에 전달되어야 하거나 열전달 유체로부터 제거해야 하는 파워는 Q1=m*Cp*(Tb-T1)(Watt; W)이다. 또한, 이러한 요건을 만족시키기 위하여 유체 경계층을 통해 운반되어야 하는 파워는 Q2=h*Aw*(T-Ts)에 의해 제공되며, 여기서 T는 유체 온도이고, Ts는 열전달면의 표면 온도이다. Q1을 Q2와 동등하게 설정함으로써, 각 펠티에 모듈을 가로질러 요구되는 온도 변화(△T2)를 결정할 수 있다.
표 2는 10℃의 온도차(△T1)를 얻기 위한 계산을 나타내고, 표 3은 20℃의 온도차(△T1)를 얻기 위한 계산을 나타낸다. 각 펠티에 모듈을 가로지른 온도차는, 각각 5℃, 10℃ 및 20℃의 유체 온도차를 얻기 위하여 대략 10℃ 내지 대략 60℃에서 변경된다. 이들 온도차는 상업적으로 입수 가능한 열전 소자의 전류 제한 내에서 양호한 것이다.
Figure 112008070796012-PCT00002
Figure 112008070796012-PCT00003
도 1을 다시 참고하면, 컨트롤러(160)는 마이크로프로세서, 메모리 및 디지털 I/O 포트를 포함하고, 이 I/O 포트는 재료 처리 시스템(100)과 통신하여, 재료 처리 시스템(100)으로부터의 출력을 모니터할 뿐 아니라, 재료 처리 시스템(100)으로의 입력을 작동시키기에 충분한 제어 전압을 발생시킬 수 있다. 또한, 컨트롤러(160)는 가스 주입 시스템(118), 진공 펌핑 시스템(130), 열교환기(150), 열전달 유닛(155), 기판(135)의 정전 클램핑을 촉진하는 고전압 직류(DC) 전압 공급부(도시 생략), 및 이면측 가스 공급 시스템(역시 도시 생략)에 결합되어 정보를 교환할 수 있다. 예컨대, 메모리에 기억된 프로그램을 활용하여, 공정 레시피에 따라 재료 처리 시스템(100)의 전술한 구성 요소로의 입력을 작동시켜 기판의 온도를 제어하는 방법을 실행시킬 수 있다. 컨트롤러(160)의 일례로는, 미국 텍사스주 오스틴에 소재하는 Dell Corporation에서 시판하는 DELL PRECISION WORKSTATION640TM이 있다.
그러나 컨트롤러(160)는, 메모리에 저장된 하나 이상의 명령어의 하나 이상의 시퀀스를 실행시키는 프로세서에 응답하여 본 발명의 마이크로프로세서 기반 처리 단계의 일부 또는 전부를 실행시키는 범용 컴퓨터 시스템으로서 구현될 수도 있다. 이러한 명령어는, 하드 디스크 또는 이동식 매체 드라이브와 같은 다른 컴퓨터 판독 가능한 매체로부터 컨트롤러 메모리 내로 기입될 수 있다. 또한, 다중 처리 배치의 하나 이상의 프로세서를 컨트롤러 마이크로프로세서로서 채용하여 메인 메모리에 저장된 명령어의 시퀀스를 실행시킬 수도 있다. 변형예에 있어서, 소프트웨어 명령어 대신에, 또는 소프트웨어 명령어와 조합하여 하드-와이어드 회로를 사용할 수 있다. 이에 따라, 실시예는 하드웨어 회로 및 소프트웨어의 임의의 특정 조합으로 한정되지 않는다.
컨트롤러(160)는 적어도 하나의 컴퓨터 판독 가능한 매체 또는 컨트롤러 메모리와 같은 메모리를 포함하여, 본 발명의 교시에 따라 프로그램된 명령어를 탑재하고 데이터 구조, 테이블, 레코드, 또는 본 발명을 구현하는데 필요할 수 있는 다른 데이터를 저장한다. 컴퓨터 판독 가능한 매체의 예로는, 콤팩트디스크(예컨대, CD-ROM), 하드디스크, 플로피디스크, 테이프, 광-자기 디스크, PROMs(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM, 또는 임의의 다른 자기 매체, 또는 임의의 다른 광학 매체, 펀치 카드, 종이 테이프, 또는 구멍의 패턴을 갖는 다른 물리 매체, (후술하는) 반송파 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 들 수 있다.
본 발명에 있어서, 컴퓨터 판독 가능한 매체 중 임의의 하나 또는 임의의 조합에는, 컨트롤러(160)를 제어하고, 발명을 구현하기 위한 장치(들)를 구동하고, 및/또는 컨트롤러로 하여금 사용자와 상호 작용하게 하는 소프트웨어가 탑재되어 있다. 그러한 소프트웨어는 디바이스 드라이버, 운영 체계(OS; operating system), 개발 툴 및 애플리케이션 소프트웨어를 포함할 수 있지만, 이들로 한정되는 것은 아니다. 이러한 컴퓨터 판독 가능한 매체는, 발명을 구현할 때에 실행하는 처리의 전부 또는 일부(처리가 분산되어 있는 경우)를 실행하기 위한 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
본 발명의 컴퓨터 코드 디바이스는 스크립트, 해석 가능 프로그램, 동적 연결 라이브러리(DLLs), 자바 클래스 및 완전 실행 가능 프로그램을 포함한 임의의 해석 가능하거나 실행 가능한 코드 메커니즘일 수 있지만, 이들로 한정되는 것은 아니다. 또한, 본 발명의 처리 중 일부는 보다 양호한 성능, 신뢰성 및/또는 비용을 위하여 분산될 수도 있다.
본 명세서에 사용되고 있는 "컴퓨터 판독 가능한 매체"라는 표현은 실행을 위하여 컨트롤러(160)의 프로세서에 명령어를 제공하는 데에 관여하는 임의의 매체를 지칭하는 것이다. 컴퓨터 판독 가능한 매체는, 비휘발성 매체, 휘발성 매체, 전송 매체를 포함한 많은 형태를 취할 수 있지만, 이들로 한정되는 것은 아니다. 비휘발성 매체는 예컨대, 하드 디스크 또는 이동식 매체 드라이브와 같은 광 디스크, 자기 디스크 및 광-자기 디스크를 포함한다. 휘발성 매체는 메인 메모리와 같은 동적 메모리를 포함한다. 또한, 실행을 위하여 컨트롤러의 프로세서에 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 데에 다양한 형태의 컴퓨터 판독 가능한 매체가 관여할 수 있다. 예컨대, 명령어는 초기에는 원격 컴퓨터의 자기 디스크에 탑재될 수도 있다. 원격 컴퓨터는 본 발명의 전부 또는 일부를 구현하기 위한 명령어를 동적 메모리 내로 원격 로딩하고, 명령어를 네트워크를 거쳐 컨트롤러(160)에 보낼 수 있다.
컨트롤러(160)는 재료 처리 시스템(100)에 대하여 근거리에 위치될 수도 있고, 재료 처리 시스템(100)에 대하여 원거리에 위치될 수도 있다. 예컨대, 컨트롤러(160)는, 직접 접속, 인트라넷, 인터넷 중 적어도 하나를 이용하여 재료 처리 시스템(100)과 데이터를 교환할 수 있다. 컨트롤러(160)는 예컨대 커스토모 사이트(즉, 디바이스 메이커 등)의 인트라넷에 결합될 수도 있고, 예컨대 벤더 사이트(즉, 장비 제작자)의 인트라넷에 결합될 수도 있다. 또한, 예컨대 컨트롤러(160)는 인터넷에 결합될 수도 있다. 또한, 다른 컴퓨터(즉, 컨트롤러, 서버 등)가 컨트롤러(160)에 액세스하여 직접 접속, 인트라넷 및 인터넷 중 적어도 하나를 통하여 데이터를 교환할 수 있다.
도 5에 도시된 처리 시스템(200)의 다른 예시적인 실시예에 따르면, 기판 홀더(120)는, 제1 (중앙) 서멀 존과 제2 (둘레 또는 가장자리) 서멀 존에 각각 근접하게 위치한 2개 이상의 온도 센서(170, 175)를 더 포함할 수 있다. 온도 센서는 서모커플(예컨대, K-타입의 서모커플)을 포함할 수 있다. 대안으로, 온도 센서는, (미국 80525 콜로라도주 포트 콜린스 샤프 포인트 드라이브 1625에 소재하는) Advanced Energies, Inc.에서 모델 번호 OR2000F로 상업적으로 판매하며 ± 1.5℃의 정확도로 50℃ 내지 2000℃를 측정할 수 있는 광섬유 서모미터를 포함할 수도 있고, 2002년 7월 2일자로 출원되고 발명의 명칭이 "Method of Wafer Band-Edge Measurement Using Transmission Spectroscopy and a Processor Controlling the Temperature Uniformity of a Wafer"인 계류 중인 미국 특허 출원 제10/168,544호에 개시된 바와 같은 밴드-에지(band-edge) 온도 측정 시스템을 포함할 수도 있으며, 이 특허 출원의 내용은 본원 명세서에서 전체적으로 참고로 인용된다. 2개 이상의 온도 센서(170, 175)는 목표 온도 분포를 얻기 위하여 실행되는 제어 알고리즘에 대한 피드백으로서 컨트롤러(160)에 온도 측정치를 제공할 수 있다.
예컨대, 컨트롤러(160)는 열전달 유체의 제1 유체 온도를 열전달 유체의 제2 유체 온도에 대하여 조정하기 위하여 하나 이상의 열전 소자로의 전기 전류 또는 전압 극성을 조정할 수 있다. 또한, 컨트롤러(160)는 벌크 유체 온도, 벌크 유량, 제1 유량, 또는 제2 유량을 조정할 수도 있다.
도 6에 도시된 다른 예시적인 실시예에 따르면, 재료 처리 시스템(300)은 도 1 및 도 5의 실시예와 유사할 수 있으며, 제1 유체 채널(140)과 제2 유체 채널(145)의 사이에 단열체(225)가 추가로 위치되어 있는 기판 홀더(220)를 포함할 수 있다. 단열체(225)는 제1 유체 채널(140) 및 제2 유체 채널(145)과 실질적으로 동심을 이룬다. 단열체(225)는 제1 유체 채널(140)과 제2 유체 채널(145) 사이에 삽입되어 기판 홀더(220)의 제어 가능한 서멀 존을 측방향으로 절연시킨다. 단열체(225)는 [기판 홀더(220)의 열전도율에 비하여] 열전도율이 낮은 임의의 재료 또는 재료의 조합으로 이루어질 수 있다. 대안으로, 단열체(225)는 가변 압력의 가스 갭과 같이 열전도율을 조정할 수 있는 절연체를 포함할 수 있다. 추가의 세부 사항은 2003년 11월 26일자로 출원되고 발명의 명칭이 "Method and System for Substrate Temperature Profile Control"인 계류중인 미국 특허 출원 제10/721,500호에서 확인할 수 있으며, 이 특허 출원은 본원 명세서에 전체적으로 참고로 인용된다.
도 7에 도시된 다른 예시적인 실시예에 따르면, 재료 처리 시스템(400)은 도 1, 도 5 및 도 6의 실시예와 유사할 수 있고, 2개 이상의 온도 제어 소자(331, 332)를 더 갖는 기판 홀더(320)를 포함할 수 있다. 각 온도 제어 소자(즉, 331, 332)는 예컨대, 열전달 유체를 유동시키기 위한 다른 유체 채널, 저항성 가열 소자, 또는 열전 소자(또는 이들의 배열)를 포함할 수 있다. 또한, 기판 홀더(320)는 선택적으로 단열체(325)를 포함할 수 있다. 제1 유체 채널(140) 및 제2 유체 채널(145)의 위에 위치한 2개 이상의 온도 제어 요소를 사용하면, 기판(135)의 가열 및 냉각을 추가로 제어할 수 있다.
도 8에 도시된 다른 예시적인 실시예에 따르면, 재료 처리 시스템(500)은 도 1, 도 5, 도 6 및 도 7의 실시예와 유사할 수 있으며, 2개 이상의 제어 가능한 절연 소자(441, 442)를 더 갖는 기판 홀더(420)를 포함할 수 있다. 각각의 제어 가능한 소자(즉, 441, 442)는 가변 압력을 갖는 가스 갭을 포함하여 기판 홀더(420) 내의 열의 유동을 수직 방향으로 제어할 수 있다. 추가의 세부 사항은, 2003년 3월 28일자로 출원되고 발명의 명칭이 "Method and System for Temperature Control of a Substrate"인 미국 가출원 제60/458,053호에서 확인할 수 있으며, 이 출원의 전체 내용은 본원 명세서에 참고로 인용된다.
도 9에 도시된 다른 예시적인 실시예에 따르면, 재료 처리 시스템은 예컨대 도 6의 실시예와 유사할 수 있으며, 2개의 개별적인 서멀 존을 더 갖는 기판 홀더(620)를 포함할 수 있다. 중앙 서멀 존(635)은 기판 홀더(620)의 중앙 부분의 온도를 제어한다. 외부 서멀 존(630)은 기판 홀더(620)의 둘레 부분의 온도를 제어한다. 선택적인 단열체(625)가 서멀 존(635)과 서멀 존(630)을 분리할 수 있다.
중앙 서멀 존(635)은 부분적으로 동심의 원형 경로(636, 637, 638, 639)에 의해 형성된 유체 채널(640)로 이루어진다. 동심의 원형 경로(636, 637, 638, 639)는 각각의 연결 레그(641, 642, 643)에 의해 연결되어 있다. 이러한 형상은, 원형 형상이 연결 레그의 영역에서 인접 원형 경로 사이의 한 위치에서만 방해되므로 방사상 대칭에 최대로 근접한다. 열전달 유체는 중앙 서멀 존(635)을 통하여 순환하며, 위치 621B에서 들어가고 위치 622에서 복귀한다.
유사한 방식으로, 외부 서멀 존(630)은 부분적으로 동심의 원형 경로(648, 649)에 의해 형성된 유체 채널(645)로 이루어진다. 동심의 원형 경로(648, 649)는 각각의 연결 레그(646)에 의해 연결되어 있다. 전술한 바와 같이, 이러한 형상도, 원형 형상이 연결 레그의 영역에서 인접 원형 경로 사이의 한 위치에서만 방해되므로 방사상 대칭에 최대로 근접한다. 열전달 유체는 외부 서멀 존(630)을 통하여 순환하며, 위치 623에서 들어가고 위치 621A에서 복귀한다.
도 10은 처리 시스템에 있어서 기판 홀더 상의 기판의 온도 프로파일을 제어하는 방법(900)을 설명하는 흐름도를 나타내고 있다. 온도 프로파일 방법(scheme)은 공정 시스템의 공정에 대한 다수의 공정 단계에 관여할 수 있다. 기판 홀더는 도 1, 도 5, 도 6, 도 7 또는 도 8에 도시된 것 중 하나를 포함할 수 있다. 방법(900)은 기판의 온도 프로파일을 제어하는 제어 파라미터를 초기화하는 단계 905에서 시작한다. 본원 명세서에 사용되고 있듯이, "온도 프로파일을 제어한다는 것"은 균일하거나 불균일한 기판 온도를 얻기 위하여 기판 홀더의 상이한 공간상의 영역을 독립적으로 제어하는 것을 의미한다. 제어 파라미터는 제1 서멀 존에 대한 입력 파라미터와 제2 서멀 존에 대한 입력 파라미터를 포함한다. 제어 파라미터는 열전 소자의 전기 전류, 전압 및 극성에 대한 입력 파라미터, 정전 클램프 HV DC 파워 서플라이 전압에 대한 입력 파라미터, 정전 클램프 HV DC 파워 서플라이 전류에 대한 입력 파라미터, 이면측 가스 공급 시스템에 대한 입력 파라미터, 가스 주입 시스템에 대한 입력 파라미터, 진공 펌핑 시스템에 대한 입력 파라미터 등을 더 포함할 수 있지만, 이들로 한정되는 것은 아니다. 제1 및 제2 서멀 존에 대한 입력 파라미터는 예컨대 유체 유량(또는 속도), 또는 유체 온도, 또는 이들 양자를 포함할 수 있다. 정전 클램프 HV DC 파워 서플라이 전압에 대한 입력 파라미터는 예컨대 클램핑 전압을 포함할 수 있다. 정전 클램프 HV DC 파워 서플라이 전류에 대한 입력 파라미터는 예컨대 클램핑 전류를 포함할 수 있다. 이면측 가스 공급 시스템에 대한 입력 파라미터는 예컨대 이면측 유량, 이면측 압력, 또는 이면측 가스 유형을 포함할 수 있다. 가스 주입 시스템에 대한 입력 파라미터는 예컨대 가스 주입 유량(들), 가스 주입 압력(들) 또는 주입 가스 유형(들)을 포함할 수 있다.
단계 910에서는, 단계 905에서 확립된 제어 파라미터를 기판, 기판 홀더, 또는 처리 시스템, 또는 이들의 2 이상의 임의의 조합을 예비 처리하도록 설정할 수 있다. 기판의 예비 처리는, 예컨대 기판을 클램핑하고, 미리 결정된 이면측 압력을 확립하고, 기판의 온도를 미리 정한 값으로 조정하는 것 등을 포함할 수 있다.
단계 915에서, 기판을 처리하기 위한 처리 시스템에서 공정을 개시하고, 단계 920에서 제어 파라미터를 제어하거나 및/또는 조정한다. 제어 파라미터는 예정된 공정 레시피에 따라 제어되거나 및/또는 조정될 수 있다. 대안으로, 제어 파라미터는, 공정 레시피에 의해 표시된 공정 조건과 온도 감지 장치(온도 센서)를 이용한 온도 측정치를 비교하는 것을 통하여 제어되거나 및/또는 조정될 수 있다. 대안으로, 제어 파라미터는, 예정된 공정 레시피와, 공정 레시피에 의해 표시된 공정 조건과 온도 감지 장치를 이용한 온도 측정치를 비교하는 것을 조합하여 제어되거나 및/또는 조정될 수 있다.
단계 925에서, 공정을 종료하고, 그 후 제어 파라미터를, 기판, 기판 홀더, 또는 처리 시스템 중 적어도 하나를 후처리하기 위하여 선택적으로 제어하거나 및/또는 조정할 수 있다.
이상, 본 발명의 특정의 예시적인 실시예만을 상세하게 설명하였지만, 당업자는 이러한 발명의 신규한 교시 내용 및 이점으로부터 실질적으로 벗어나지 않으면서 예시적인 실시예가 가능하다는 것을 쉽게 이해할 수 있다. 따라서 이러한 모든 변형예는 본 발명의 범위 내에 포함되는 것으로 한다.

Claims (18)

  1. 처리 시스템에 있어서의 처리 요소의 제1 서멀 영역(thermal region)에 결합되고, 제1 유체 온도를 갖는 제1 흐름의 열전달 유체를 수용하도록 구성되는 제1 유체 채널과,
    상기 처리 시스템에 있어서의 상기 처리 요소의 제2 서멀 영역에 결합되고, 제2 유체 온도를 갖는 제2 흐름의 열전달 유체를 수용하도록 구성되는 제2 유체 채널과,
    벌크 유체 온도를 갖는 벌크 흐름(bulk flow)의 열전달 유체를 제공하도록 구성된 열교환기로서, 상기 벌크 흐름의 상기 열전달 유체가 상기 제1 흐름의 상기 열전달 유체와 상기 제2 흐름의 상기 열전달 유체를 공급하는 것인 열교환기 유닛과,
    상기 열교환기에 결합되고 상기 벌크 흐름의 열전달 유체를 수용하도록 구성된 열전달 유닛으로서, 상기 제1 흐름이 통과하는 제1 열전달 영역과 상기 제2 흐름이 통과하는 제2 열전달 영역 사이에서 열을 전달함으로써 상기 제1 유체 온도를 갖는 상기 제1 흐름의 열전달 유체와 상기 제2 유체 온도를 갖는 제2 흐름의 열전달 유체를 제공하도록 구성되는 열전달 유닛
    을 포함하는 온도 제어 시스템.
  2. 제1항에 있어서, 상기 열전달 유닛은, 상기 제1 유체 온도가 상기 벌크 유체 온도 미만으로 되고, 상기 제2 유체 온도가 벌크 유체 온도를 초과하도록 상기 제1 흐름의 열전달 유체로부터 상기 제2 흐름의 열전달 유체로 열을 전달하는 것인 온도 제어 시스템.
  3. 제1항에 있어서, 상기 열전달 유닛은, 제1면이 상기 제1 열전달 영역에 결합되고 제2면이 상기 제2 열전달 영역에 결합된 하나 이상의 열전 소자(thermo-electric device)를 포함하고, 상기 하나 이상의 열전 소자는, 상기 제1면과 상기 제2면 사이에 온도차를 발생시키도록 전원에 결합되어 있는 것인 온도 제어 시스템.
  4. 제3항에 있어서, 상기 하나 이상의 열전 소자의 상기 제1면과 상기 제2면 사이의 상기 온도차의 크기는, 상기 전원으로부터 상기 하나 이상의 열전 소자에 결합된 전기 전류를 조정함으로써 변경되는 것인 온도 제어 시스템.
  5. 제3항에 있어서, 상기 제1 흐름의 열전달 유체와 상기 제2 흐름의 열전달 유체 사이의 열전달의 방향은, 상기 전원으로부터 상기 하나 이상의 열전 소자에 결합된 전기 전압의 극성을 조정함으로써 변경되는 것인 온도 제어 시스템.
  6. 제1항에 있어서, 상기 열전달 유닛은 상기 제1 열전달 영역에 결합된 제1 입구와 상기 제2 열전달 영역에 결합된 제2 입구를 가지며, 상기 제1 입구와 제2 입 구가 상기 열교환기의 출구에 결합되어, 상기 벌크 흐름의 열전달 유체는 상기 제1 흐름 및 상기 제2 흐름을 형성하도록 분기되는 것인 온도 제어 시스템.
  7. 제1항에 있어서, 상기 열전달 유닛은, 상기 제1 열전달 영역 및 상기 제1 유체 채널의 입구에 결합된 제1 출구와, 상기 제2 열전달 영역 및 상기 제2 유체 채널의 입구에 결합된 제2 출구를 갖는 것인 온도 제어 시스템.
  8. 제1항에 있어서, 상기 열교환기는 상기 제1 유체 채널의 출구 및 상기 제2 유체 채널의 출구에 결합되고, 상기 제1 유체 채널 및 상기 제2 유체 채널로부터의 상기 열전달 유체를 수용하도록 구성되는 것인 온도 제어 시스템.
  9. 제1항에 있어서, 상기 처리 요소는 상기 처리 시스템 내의 기판 홀더를 포함하는 것인 온도 제어 시스템.
  10. 제9항에 있어서, 상기 제1 서멀 영역은 상기 기판의 중앙 부분에 근접하고, 상기 제2 서멀 영역은 상기 기판의 가장자리 부분에 근접한 것인 온도 제어 시스템.
  11. 제9항에 있어서, 상기 기판은 반도체 웨이퍼를 포함하는 것인 온도 제어 시스템.
  12. 제9항에 있어서, 상기 기판은 상기 기판 홀더에 클램프되어 있는 것인 온도 제어 시스템.
  13. 제9항에 있어서, 상기 기판의 이면과 상기 기판 홀더의 상면 사이의 공간에 열전달 가스가 공급되는 것인 온도 제어 시스템.
  14. 제1항에 있어서,
    상기 열교환기에 결합되고, 상기 벌크 흐름의 열전달 유체의 유량 또는 상기 벌크 유체 온도, 또는 이들 양자를 조정하도록 구성되는 컨트롤러를 더 포함하는 온도 제어 시스템.
  15. 제1항에 있어서,
    상기 열전달 유닛에 결합되고, 상기 제1 흐름의 열전달 유체의 유량, 상기 제1 유체 온도, 상기 제2 흐름의 열전달 유체의 유량, 또는 상기 제2 유체 온도, 또는 이들 중 2 이상의 임의의 조합을 조정하도록 구성되는 컨트롤러를 포함하는 온도 제어 시스템.
  16. 제1항의 온도 제어 시스템을 이용하여 기판 홀더에 유지된 기판의 온도를 제어하는 방법으로서,
    상기 제1 유체 채널에 제1 열전달 유체를 제공하는 단계와,
    상기 제2 유체 채널에 제2 열전달 유체를 제공하는 단계와,
    상기 열전달 유닛을 이용하여, 상기 제2 열전달 유체의 제2 유체 온도에 대하여 상기 제1 열전달 유체의 제1 유체 온도를 제어하는 단계
    를 포함하는 온도 제어 방법.
  17. 컴퓨터 시스템에 의한 실행 시에, 컴퓨터 시스템으로 하여금 제1항의 온도 제어 시스템을 제어하여 다음의 방법을 실행하도록 하는, 컴퓨터 시스템 상에서의 실행을 위한 프로그램 명령어를 탑재하는 컴퓨터 판독 가능한 매체로서, 상기 방법은,
    상기 제1 유체 채널에 제1 열전달 유체를 제공하는 단계와,
    상기 제2 유체 채널에 제2 열전달 유체를 제공하는 단계와,
    상기 열전달 유닛을 이용하여, 상기 제2 열전달 유체의 제2 유체 온도에 대하여 상기 제1 열전달 유체의 제1 유체 온도를 제어하는 단계를 포함하는 것인 컴퓨터 판독 가능한 기록 매체.
  18. 제1 유체 온도를 갖는 제1 흐름의 열전달 유체를 수용하도록 구성되는, 처리 시스템에 있어서의 처리 요소의 제1 서멀 영역과,
    제2 유체 온도를 갖는 제2 흐름의 열전달 유체를 수용하도록 구성되는, 상기 처리 시스템에 있어서의 상기 처리 요소의 제2 서멀 영역과,
    상기 제1 유체 온도가 상기 제2 유체 온도와 상이하도록 상기 제1 흐름의 열전달 유체와 상기 제2 흐름의 열전달 유체 사이에서 열을 전달하는 열전달 유닛
    을 포함하는 온도 제어 시스템.
KR1020087024875A 2006-03-28 2007-01-25 멀티 존 기판 온도 제어 시스템 및 그 조작 방법 KR20080114795A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/390,471 2006-03-28
US11/390,471 US8343280B2 (en) 2006-03-28 2006-03-28 Multi-zone substrate temperature control system and method of operating

Publications (1)

Publication Number Publication Date
KR20080114795A true KR20080114795A (ko) 2008-12-31

Family

ID=38573889

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087024875A KR20080114795A (ko) 2006-03-28 2007-01-25 멀티 존 기판 온도 제어 시스템 및 그 조작 방법

Country Status (6)

Country Link
US (1) US8343280B2 (ko)
JP (1) JP2009531867A (ko)
KR (1) KR20080114795A (ko)
CN (1) CN101410190A (ko)
TW (1) TWI357631B (ko)
WO (1) WO2007117740A2 (ko)

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283553B1 (en) * 2007-09-21 2012-10-09 Hrl Laboratories, Llc Photon enhanced thermoelectric power generation
DE102007059717B4 (de) * 2007-12-12 2011-02-03 R3T Gmbh Rapid Reactive Radicals Technology Vorrichtung und Verfahren zur Herstellung von Mikrobauteilen sowie Verwendung einer derartigen Vorrichtung
JP4263761B1 (ja) * 2008-01-17 2009-05-13 トヨタ自動車株式会社 減圧式加熱装置とその加熱方法および電子製品の製造方法
US8650886B2 (en) * 2008-09-12 2014-02-18 Rockwell Collins, Inc. Thermal spreader assembly with flexible liquid cooling loop having rigid tubing sections and flexible tubing sections
US8616266B2 (en) * 2008-09-12 2013-12-31 Rockwell Collins, Inc. Mechanically compliant thermal spreader with an embedded cooling loop for containing and circulating electrically-conductive liquid
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5405667B2 (ja) * 2010-07-22 2014-02-05 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
CN102412169B (zh) * 2010-09-21 2014-05-28 和舰科技(苏州)有限公司 一种具有温度自动控制功能的晶片加工机台
CN102009941B (zh) * 2010-10-09 2013-12-11 北京大学 微纳米流体系统及其制备方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN102136668A (zh) * 2011-03-06 2011-07-27 四川大学 薄片激光器激光介质的温度控制方法及其装置
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5969488B2 (ja) * 2011-10-05 2016-08-17 京セラ株式会社 試料保持具
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN102509714B (zh) * 2011-11-18 2014-08-27 中微半导体设备(上海)有限公司 快速控制静电吸盘温度的装置及方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9377423B2 (en) * 2012-12-31 2016-06-28 Cascade Microtech, Inc. Systems and methods for handling substrates at below dew point temperatures
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
KR102397854B1 (ko) * 2014-02-14 2022-05-12 어플라이드 머티어리얼스, 인코포레이티드 안정화된 고온 증착을 위한 가스 냉각식 기판 지지부
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10006717B2 (en) 2014-03-07 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking system and method of using the same
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
CN105336562B (zh) * 2014-07-22 2018-03-09 中芯国际集成电路制造(北京)有限公司 热处理腔室和热处理方法、涂布设备
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
DE102015100640A1 (de) * 2015-01-19 2016-07-21 Aixtron Se Vorrichtung und Verfahren zum thermischen Behandeln von Substraten
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN104965990B (zh) * 2015-07-10 2018-08-17 福州大学 一种采用纳米流体强化微纳米尺度通道传热的方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US20170051402A1 (en) * 2015-08-17 2017-02-23 Asm Ip Holding B.V. Susceptor and substrate processing apparatus
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170248973A1 (en) * 2016-02-29 2017-08-31 Cascade Microtech, Inc. Probe systems and methods including active environmental control
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP2018076995A (ja) * 2016-11-08 2018-05-17 株式会社ナカヤ 遠隔制御によるエリア別パラメータ制御方式チラーを用いた循環液温度制御方法及びメンテナンス方法。
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10658168B2 (en) 2018-05-03 2020-05-19 Perkinelmer Health Sciences Canada, Inc. Multiple gas flow ionizer
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102161537B1 (ko) * 2018-11-16 2020-10-05 (주)엠크래프츠 전자현미경용 시료대
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2021149467A (ja) * 2020-03-18 2021-09-27 株式会社Kelk 温度制御システム
US11493551B2 (en) 2020-06-22 2022-11-08 Advantest Test Solutions, Inc. Integrated test cell using active thermal interposer (ATI) with parallel socket actuation
CN113960884B (zh) * 2020-07-21 2024-05-14 长鑫存储技术有限公司 温度调控系统及温度调控方法
US11549981B2 (en) 2020-10-01 2023-01-10 Advantest Test Solutions, Inc. Thermal solution for massively parallel testing
US11821913B2 (en) 2020-11-02 2023-11-21 Advantest Test Solutions, Inc. Shielded socket and carrier for high-volume test of semiconductor devices
US11808812B2 (en) 2020-11-02 2023-11-07 Advantest Test Solutions, Inc. Passive carrier-based device delivery for slot-based high-volume semiconductor test system
US20220155364A1 (en) 2020-11-19 2022-05-19 Advantest Test Solutions, Inc. Wafer scale active thermal interposer for device testing
US11567119B2 (en) 2020-12-04 2023-01-31 Advantest Test Solutions, Inc. Testing system including active thermal interposer device
US11573262B2 (en) 2020-12-31 2023-02-07 Advantest Test Solutions, Inc. Multi-input multi-zone thermal control for device testing
US11587640B2 (en) 2021-03-08 2023-02-21 Advantest Test Solutions, Inc. Carrier based high volume system level testing of devices with pop structures
US11656273B1 (en) 2021-11-05 2023-05-23 Advantest Test Solutions, Inc. High current device testing apparatus and systems
CN114675686B (zh) * 2022-03-18 2023-06-13 长江存储科技有限责任公司 用于多腔室的温度控制系统以及温度控制方法
US11828795B1 (en) 2022-10-21 2023-11-28 AEM Holdings Ltd. Test system with a thermal head comprising a plurality of adapters for independent thermal control of zones
US11656272B1 (en) 2022-10-21 2023-05-23 AEM Holdings Ltd. Test system with a thermal head comprising a plurality of adapters and one or more cold plates for independent control of zones
US11693051B1 (en) 2022-10-21 2023-07-04 AEM Holdings Ltd. Thermal head for independent control of zones
US11796589B1 (en) 2022-10-21 2023-10-24 AEM Holdings Ltd. Thermal head for independent control of zones
US11828796B1 (en) 2023-05-02 2023-11-28 AEM Holdings Ltd. Integrated heater and temperature measurement

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3175576D1 (en) 1980-12-11 1986-12-11 Toshiba Kk Dry etching device and method
KR900002143B1 (ko) 1985-03-29 1990-04-02 미쯔비시 덴끼 가부시기가이샤 덕트식 멀티조온 공조시스템
JPH0834205B2 (ja) 1986-11-21 1996-03-29 株式会社東芝 ドライエツチング装置
KR910006164B1 (ko) 1987-03-18 1991-08-16 가부시키가이샤 도시바 박막형성방법과 그 장치
TW204411B (ko) 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5622639A (en) 1993-07-29 1997-04-22 Tokyo Electron Kabushiki Kaisha Heat treating apparatus
JPH0786174A (ja) 1993-09-16 1995-03-31 Tokyo Electron Ltd 成膜装置
KR960002534A (ko) 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US5928427A (en) 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
US5733426A (en) 1995-05-23 1998-03-31 Advanced Micro Devices, Inc. Semiconductor wafer clamp device and method
WO1998005060A1 (en) * 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
US5876879A (en) 1997-05-29 1999-03-02 International Business Machines Corporation Oxide layer patterned by vapor phase etching
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6074951A (en) 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6527865B1 (en) 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6383300B1 (en) 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
US20020011216A1 (en) 1999-06-04 2002-01-31 Tue Nguyen Integral susceptor-wall reactor system and method
US6284006B1 (en) 1999-11-15 2001-09-04 Fsi International, Inc. Processing apparatus for microelectronic devices in which polymeric bellows are used to help accomplish substrate transport inside of the apparatus
US20010016226A1 (en) 1999-12-15 2001-08-23 International Business Machines Corporation Method for preparing the surface of a dielectric
WO2001050109A2 (en) 2000-01-05 2001-07-12 Tokyo Electron Limited Wafer band-edge measurement using spectroscopy and a process of uniform wafer temperature control
US6245619B1 (en) 2000-01-21 2001-06-12 International Business Machines Corporation Disposable-spacer damascene-gate process for SUB 0.05 μm MOS devices
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6271094B1 (en) 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
AU2001247226A1 (en) 2000-03-20 2001-10-03 Tokyo Electron Limited High speed stripping for damaged photoresist
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP4672113B2 (ja) 2000-07-07 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマ処理装置
US6936134B2 (en) 2000-11-14 2005-08-30 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6672076B2 (en) * 2001-02-09 2004-01-06 Bsst Llc Efficiency thermoelectrics utilizing convective heat flow
US20020195201A1 (en) 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
JP3910925B2 (ja) 2003-02-25 2007-04-25 株式会社日立ハイテクノロジーズ プラズマ処理装置
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
CN100533683C (zh) 2003-04-22 2009-08-26 东京毅力科创株式会社 硅氧化膜的去除方法
US20050218113A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US20050227494A1 (en) 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US20050211264A1 (en) 2004-03-25 2005-09-29 Tokyo Electron Limited Of Tbs Broadcast Center Method and processing system for plasma-enhanced cleaning of system components
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control

Also Published As

Publication number Publication date
CN101410190A (zh) 2009-04-15
WO2007117740A3 (en) 2007-12-27
US8343280B2 (en) 2013-01-01
TWI357631B (en) 2012-02-01
WO2007117740A2 (en) 2007-10-18
JP2009531867A (ja) 2009-09-03
TW200807613A (en) 2008-02-01
US20070235134A1 (en) 2007-10-11

Similar Documents

Publication Publication Date Title
KR20080114795A (ko) 멀티 존 기판 온도 제어 시스템 및 그 조작 방법
US20060027169A1 (en) Method and system for substrate temperature profile control
US8410393B2 (en) Apparatus and method for temperature control of a semiconductor substrate support
KR101421720B1 (ko) 내식성 절연층을 갖는 기판 처리 시스템용 온도제어 기판홀더
US7952049B2 (en) Method for multi-step temperature control of a substrate
JP4153781B2 (ja) 熱処理装置および基板処理装置
US20090118872A1 (en) Temperature control device for target substrate, temperature control method and plasma processing apparatus including same
KR20090071614A (ko) 기판 프로세싱 시스템용 불균일 절연층을 갖는 온도 제어식 기판 홀더
US20090095451A1 (en) Method and apparatus for temperature change and control
US20130270252A1 (en) Methods and apparatus for controlling temperature of a multi-zone heater in a process chamber
JP2008252102A (ja) 基板熱管理システム
JP2009033192A (ja) 基板熱管理の方法
US6508062B2 (en) Thermal exchanger for a wafer chuck
Tay et al. A lamp thermoelectricity based integrated bake/chill system for photoresist processing
Chua et al. A heater plate assisted bake/chill system for photoresist processing in photolithography
JPH02197142A (ja) プローブ装置
JPH03208331A (ja) 処理装置
JP2002373838A (ja) 温度調整装置における載置プレートの支持構造

Legal Events

Date Code Title Description
A201 Request for examination
E601 Decision to refuse application