CN101410190A - 多区衬底温度控制系统及操作方法 - Google Patents

多区衬底温度控制系统及操作方法 Download PDF

Info

Publication number
CN101410190A
CN101410190A CNA2007800114903A CN200780011490A CN101410190A CN 101410190 A CN101410190 A CN 101410190A CN A2007800114903 A CNA2007800114903 A CN A2007800114903A CN 200780011490 A CN200780011490 A CN 200780011490A CN 101410190 A CN101410190 A CN 101410190A
Authority
CN
China
Prior art keywords
heat
fluid
temperature
transfer
transfer fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007800114903A
Other languages
English (en)
Inventor
饭室俊一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101410190A publication Critical patent/CN101410190A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support

Abstract

本发明描述了用于衬底温度的多区控制的方法和系统。该温度控制系统包括热交换器,该热交换器耦合到被构造来支撑衬底的衬底夹持器中的两个或更多个流体通道。热交换器被构造来调节流经所述两个或更多个流体通道的传热流体的温度。温度控制系统还包括传热单元,其具有构造来接收来自热交换器的处于本体流体温度的传热流体的入口。此外,传热单元包括:第一出口,其构造来将处于低于本体流体温度的第一温度的传热流体的一部分耦合到两个或更多个流体通道中的第一流体通道;以及第二出口,其构造来将处于高于本体流体温度的第二温度的传热流体的其余部分耦合到两个或更多个流体通道中的第二流体通道。

Description

多区衬底温度控制系统及操作方法
相关申请的交叉引用
本申请基于并要求2006年3月28日提交的美国专利申请No.11/390,471并要求其优先权。该申请的全部内容通过引用而结合于此。本申请涉及2004年8月6日递交的题为″Method and System for SubstrateTemperature Profile Control″的美国专利申请No.10/912,182(ES-005);2003年11月26日递交的题为″Thermally Zoned Substrate Holder Assembly″的美国专利申请No.KV721.500(PC0204A);2003年3月28日递交的题为″Method and System for Temperature Control of a Substrate″的美国临时申请No.60/458,043(PC0262A);以及2001年1月5日递交的题为″Method ofWafer Band-edge Measurement Using Transmission Spectroscopy and a Processfor Controlling the Temperature Uniformity of a Wafer″的美国申请No.10/168,544(PC0003B),这些申请的全部内容通过引用而结合于此。
技术领域
本发明涉及用于衬底的温度控制的方法和系统,更具体地说,涉及用于衬底温度控制的衬底夹持器。
背景技术
已知在半导体制造和处理中,各种处理(包括例如刻蚀处理和沉积处理)严重依赖衬底的温度。衬底温度受多种处理诸如等离子处理(包括离子轰击)、热处理(包括辐射、对流和传导)以及化学处理(包括在衬底表面处发生的化学反应)影响。向衬底夹持器的上表面提供合适的温度可以被用于控制衬底的温度。
发明内容
根据一个实施例,温度控制系统包括第一流体通道,其耦合到处理系统中的处理元件的第一热区,并构造来接收处于第一流体温度的传热流体的第一流。第二流体通道被耦合到所述处理系统中的所述处理元件的第二热区,并被构造来接收处于第二流体温度的传热流体的第二流。热交换器单元被构造来提供处于本体流体温度的传热流体的本体流,其中所述传热流体的所述本体流供给所述传热流体的所述第一流和所述传热流体的所述第二流。传热单元被耦合到所述热交换器,并被构造来接收所述传热流体的所述本体流,其中所述传热单元被构造来通过在所述所述传热流体的所述第一流经过的第一传热区和所述传热流体的所述第二流经过的第二传热区之间传热,提供处于所述第一流体温度的所述传热流体的所述第一流和提供处于所述第二流体温度的所述传热流体的所述第二流。
根据另一个实施例,一种方法和计算可读介质的程序指令利用温度控制系统控制被保持在衬底夹持器上的衬底的温度。第一传热流体被提供到所述第一流体通道。第二传热流体被提供到所述第二流体通道。利用所述传热单元,相对于所述第二传热流体的第二流体温度控制所述第一传热流体的第一流体温度。
根据还有一个实施例,温度控制系统包括处理系统中的处理元件的第一热区,其被构造来接收处于第一流体温度的传热流体的第一流。所述处理系统中的所述处理元件的第二热区被构造来接收处于第二流体温度的传热流体的第二流。传热单元在所述传热流体的所述第一流和所述传热流体的所述第二流之间传热,使得所述第一流体温度不同于所述第二流体温度。
附图说明
在附图中:
图1描绘了根据本发明一个实施例的具有衬底夹持器的处理系统的简化框图;
图2是根据本发明一个实施例的Peltier模块的示意图;
图3A描绘了根据本发明一个实施例的传热单元的顶视图;
图3B描绘了图3A所示的传热单元的剖视图;
图3C描绘了图3A所示的传热单元的端视图;
图3D描绘了图3A和3C所示的传热单元的反面端视图;
图4描绘了根据本发明另一个实施例的传热单元的局部剖视图;
图5描绘了根据本发明另一个实施例的具有衬底夹持器的处理系统的简化框图;
图6描绘了根据本发明另一个实施例的具有衬底夹持器的处理系统的简化框图;
图7描绘了根据本发明另一个实施例的具有衬底夹持器的处理系统的简化框图;
图8描绘了根据本发明另一个实施例的具有衬底夹持器的处理系统的简化框图;
图9描绘了用于包括第一和第二热区的第一和第二流体通道的示例性几何形状;以及
图10示出了根据本发明一个实施例的操作温度控制系统的方法。
具体实施方式
在下面的说明中,为了便于对本发明有完整的理解以及为了说明而非限制的目的,阐述了一些具体细节,例如处理元件的具体几何形状以及处理元件中的温度控制元件的不同形状。但是应当明白,本发明可以采用不同于这些具体细节的其他实施方式来实施。
然而,应该理解,尽管说明了一般概念的发明本质,说明书中包含的特征也具有发明本质。
根据本发明的一个实施例,图1图示了一种材料处理系统100,该系统包括处理工具110,处理工具110具有衬底夹持器120和支撑于其上的衬底135。衬底夹持器120构造为提供布置在衬底夹持器120中的至少两个热区,以便在材料处理系统100中提供温度分布控制和/或衬底温度的迅速调整。例如,热区可以各自包含流体通道和/或若干个热电器件等,其中所述流体通道用于在有或没有附加温度控制元件(例如电阻加热元件或冷却元件)的情况下,以预定流率和温度循环传热流体。为了使说明清楚,用各个衬底夹持器中的流体通道代表热区并在图1、图2、图3、图4和图5中作为实例。
在图1所示的实施例中,材料处理系统100可以适用于制造集成电路(IC)的半导体处理系统。例如,材料处理系统100可以包括干法(等离子体或非等离子体)刻蚀系统。或者,材料处理系统100包括:光刻胶涂敷室,例如可以用于涂敷后烘烤(PAB)或曝光后烘烤(PEB)的光刻胶旋涂系统中的加热/冷却模块;光刻胶图案化处理室,例如紫外(UV)光刻系统;介质涂敷室,例如旋涂玻璃(SOG)系统、旋涂介质(SOD)系统;沉积室,例如化学气相沉积(CVD)系统、物理气相沉积(PVD)系统、等离子体增强化学气相沉积(PECVD)系统、原子层沉积(ALD)系统、等离子体增强ALD(PEALD)系统;或快速热处理(RTP)室,例如用于热退火的RTP系统。
根据图1所示的实施例,材料处理系统100包括处理工具110、气体注入系统118和真空泵系统130,其中处理工具110具有处理体积115并具有衬底夹持器120,待处理的衬底135固定在衬底夹持器120上。衬底135可以是半导体晶片或液晶显示器(LCD)。
衬底夹持器120被构造来支撑衬底135并控制其温度。衬底夹持器120的温度控制系统包括热交换器和传热单元,其耦合到衬底夹持器120中的两个或更多个流体通道,并被构造来通过将热从通过一个流体通道的流体流传到通过另一个流体通道的流体流,相对于流动通过一个流体通道的传热流体的温度调节流动通过另一个流体通道的传热流体的温度。
如图1所示,衬底夹持器120包含第一流体通道140和第二流体通道145,第一流体通道140基本为环形并位于衬底夹持器120的中央热区,第二流体通道145被与第一流体通道140同心地布置在衬底夹持器120的外周(或边缘)热区。第一流体通道140被构造来循环在相应的入口141处供给衬底夹持器120并在相应的出口142处从衬底夹持器120返回的传热流体。第二流体通道145被构造来循环在相应的入口146处供给衬底夹持器120并在相应的出口147处从衬底夹持器120返回的传热流体。
热交换器150被构造来在热交换器150的出口157处以主体流体温度和主体流率供给传热流体的本体流。此外,热交换器150可以被构造来通过入口156接收来自第一流体通道140的出口142和第二流体通道145的出口147的传热流体。来自出口142和147的传热流体可以被排放到热交换器150,或者它们可以被排放到独立的收集容器。例如,热交换器150可以分别包括可从Daikin Industries Limited商购的UBRPD5A-1T4型冷却器。热交换器150可以被构造来采用诸如水或电介质流体(dielectricfluid)(例如Fluorinert,Galden HT-135或Galden HT-200)的传热流体进行工作。本领域普通技术人员可以理解,传热流体可以是任何被设置来向或从衬底夹持器120传热的流体。
来自热交换器150的传热流体流的一部分进入传热单元155的第一入口158,以形成通过传热单元155的第一传热区域的传热流体第一流,而来自热交换器150的传热流体流的另一部分进入传热单元155的第二入口159,以形成通过传热单元155的第二传热区域的传热流体第二流。例如,一个或多个阀和可选的一个或多个流量测量装置可以被用于调节传热流体流的进入第一入口158的部分与传热流体流的进入第二入口159的部分的相对量。
传热单元155可以被构造来将热从传热流体的第一流传到传热流体第二流,使得在第一流体通道140的入口141处从传热单元155出来的传热流体第一流达到小于或等于主体流体温度的第一流体温度,并且使得在第二流体通道145的入口147处从传热单元155出来的传热流体第二流达到大于或等于主体流体温度的第二流体温度。或者,传热单元155被构造来将热从传热流体的第二流传到传热流体第一流,使得在第一流体通道140的入口141处从传热单元155出来的传热流体第一流达到大于或等于主体流体温度的第一流体温度,并且使得在第二流体通道145的入口147处从传热单元155出来的传热流体第二流达到小于或等于主体流体温度的第二流体温度。传热单元155可以被构造来在传热流体的第一和第二流之间沿任一方向传热。
在一个实施例中,传热单元155包括一个或多个热电器件。该一个或多个热电器件被构造来相对于传热流体第二流的温度调节传热流体第一流的温度。每一个热电器件可以包含热耦合到传热单元155的第一传热区域的第一表面和热耦合到传热单元155的第二传热区域的第二表面。例如,热电器件可以维持大约数十度(50-60℃)或者甚至100度左右的(第一表面和第二表面之间的)温度差。
因此,此温度差可以导致例如衬底夹持器的中心热区和衬底夹持器的外周热区之间的相当的温度差。在运行中,热电器件被提供变化的电流和电压,以补偿或维持传输到第一流体通道140和第二流体通道145的传热流体的温度控制所需的任何温度差。例如,热电器件还能够较之热交换器150更快速地调节其温度。
多个热电器件可以包括Peltier模块。Peltier模块是起到热泵作用的小的固态器件。这些模块是基于如下的事实的:向两种接合材料施加电压在一些情况下在接触区域附近产生放热或吸热(这取决于极性)。根据一个实施例,在图2中示出了Peltier模块。此模块190包含p型半导体材料191和n型半导体材料192,所述p型半导体材料191和n型半导体材料192由连接器193a-193b连接并且被封装在陶瓷层194和195之间。在运行中,当电力被施加到Peltier模块时,器件的一侧变得较冷而另一侧变得较热。改变电压极性使得效果相反,并且吸热的一面变为放热面,反之亦然。利用这样的固态器件,加热功率和冷却功率大致正比于电力或所施加的电压。
现在参考图3A-3D,描述根据一个实施例的传热单元350。图3A表示传热单元350的顶视图;图3B表示(图3A所示的)传热单元350的剖视图,而图3C和3D表示传热单元350的相反两侧的端视图。传热单元350包含第一流体导管,所述第一流体导管具有形成在传热单元壳体310中的第一阵列的传热段302A-320J以及第一阵列的导管弯管324A-324I。第一流体导管从第一入口358以第一流率接收处于主体流体温度的传热流体,并且通过第一出口362以第一流率排出处于(不同于主体流体温度的)第一流体温度的传热流体。热被传到通过第一阵列的传热段320A-320J的传热流体的第一流,或被从通过第一阵列的传热段320A-320J的传热流体的第一流传出。虽然第一流体导管被示为具有十(10)个传热段,但是其可以具有更少或更多的传热段。
传热单元350包含第二流体导管,所述第二流体导管具有第二阵列的传热段322A-322J以及第二阵列的导管弯管326A-326I。第二流体导管从第二入口359以第二流率接收处于主体流体温度的传热流体,并且通过第二出口363以第二流率排出处于(不同于主体流体温度的)第二流体温度的传热流体。热被传到通过第二阵列的传热段322A-322J的传热流体的第二流,或被从通过第二阵列的传热段322A-322J的传热流体的第二流传出。虽然第二流体导管被示为具有十(10)个传热段,但是其可以具有更少或更多的传热段。
传热单元350还包含布置在第一阵列的传热段320A-320J和第二阵列的传热段322A-322J之间的热电器件328A-328J的阵列。热电器件328A-328J的阵列被配置来在传热流体的第一流和传热流体的第二流之间传热。例如,热电器件328A-328J的阵列中的各个成员可以包含多个Peltier模块。
现在参考图4,示出了传热单元的局部剖视图。传热单元包含上壳体410和下壳体412,一个或多个传热段420的第一阵列被形成在上壳体410中,第一或多个传热段422的第二阵列被形成在下壳体412中。一个或多个热电器件428被布置在一个或多个传热段420的第一阵列和第一或多个传热段422的第二阵列之间。上壳体410和下壳体412被一个或多个绝热构件430进一步分隔。一个或多个热电器件428被配置来相对于通过一个或多个传热段422的第二阵列的传热流体的第二流的温度调节通过一个或多个传热段420的第一阵列的传热流体的第一流的温度。每一个热电器件可以包含热耦合到一个或多个传热段420的第一阵列(第一传热区域)的第一表面4281和热耦合到一个或多个传热段的第二阵列(第二传热区域)的第二表面4282。
在一个实例中,描述具有如图3A-3D所示的构造的传热单元。第一导管包含十(10)个传热段(如图3A所示),其中每一个传热段的尺寸包括约600mm的长度(I)以及宽(W)约50mm并且高(H)约3mm的横截面。虽然横截面被示为长方形,但是其可以采用包括圆形在内的多种形状。这样的尺寸提供了大致相当于半英寸直径管的横截面积(Ah)(即,约150mm2)。例如,第一入口358或第二入口359、或第一出口362或第二出口363、或第一陈列或第二阵列的导管弯管(324A-324I,326A-326I)中的任意一个可以包含半英寸的直径(或约12.5mm)。例如,入口、出口、导管弯管和传热段可以被设计成具有基本相同的横截面积。因为第一导管包含10个传热段,所以有效长度(L)变为10×I,等于6000mm。因此,直接耦合到传热表面(例如可以是一个或多个热电器件的第一或第二表面)的润湿表面积(Aw)变为AW=L×W=3125mm2
此外,在此实例中,第二导管包含十(10)个传热段(如图3B所示),其中每一个传热段的尺寸被构造为第一导管的相同。热电器件(例如Peltier模块)的阵列被布置在如图3A和3B所示的第一导管和第二导管之间。具体地,例如,大约12个(50mm×50mm)的Peltier模块的阵列可以被布置在第一和第二导管的各个传热段之间。
在给定传热单元的几何形状的情况下,表1列出了实现5℃的第一流体温度和第二流体温度之间的温度差(ΔT1)所需的各个Peltier模块两侧的温度变化(ΔT2),其作为通过各个导管(即,第一和第二导管)的体积流率(V)的函数。
表1
Figure A20078001149000121
表1提供了体积流率(V)(升每秒,lpm;以及立方米每秒,m3/sec),质量流率(m=ρ×V)(当使用传热流体Galden HT-200时:ρ=1840Kg/m3;热导率k=0.066J/m-K;动态粘度v=2.0E-06m2/sec;比热cp=1047J/Kg-K;Prandtl数=58.4;对于20C的情况),以及流速(u=m/(ρAh)(米每秒,m/sec)。由这些流动参数可以确定Reynolds数(Re=u*dh/v)(基于水力直径(dh=sqrt(4*Ah/π)))和Nusselt数(Nu=0.023*Re4/5*Pr0.3)(基于管中的湍流的经验公式,由此可以计算对于通过形成在传热表面的润湿区域上的流体边界层的热传输的传热系数(h=Nu*k/dh)(J/m2-K))。
现在,对于给定质量流率(m),为了将流体温度从本体流体温度(Tb)调节到第一流体温度(T1)(或第二流体温度(T2))而需要传输到传热流体或需要从其传出的功率为Q1=m*cp*(Tb-T1)(瓦特,W)。此外,必须被传输通过流体边界层以满足此要求的功率由Q2=h*Aw*(T-Ts)给出,其中T是流体温度,并且Ts是传热表面的表面温度。通过设定与Q2相等的Q1,可以确定各个Peltier模块两侧的所需的温度变化(ΔT2)。
表2列出了用于实现10℃的温度差(ΔT1)的计算,并且表3列出了用于实现20℃的温度差(ΔT1)的计算。各个Peltier模块两侧的温度差在约10℃到约60℃之间变化,以分别实现5℃、10℃和20℃的流体温度差。这样的温度差完全处于商业可得的热电器件的现有极限内。
表2
Figure A20078001149000131
表3
Figure A20078001149000132
再次参考图1,控制器160包括微处理器、存储器和能够产生控制电压的数字式I/O端口,该控制电压足以与材料处理系统100通信并激活其输入,以及对材料处理系统100的输出进行监视。此外,控制器160可以耦合到气体注入系统118、真空泵系统130、热交换器150、传热单元155、高压直流(DC)电压源(未示出)和背面气体供给系统(也未示出),并与它们交换信息。例如,可以用储存在存储器中的程序来根据处理工艺激活到材料处理系统100的前述部件的输入,以便执行控制衬底温度的方法。控制器160的一种示例是可以从Texas的Austin的DellCorporation买到的DELL PRECISION WORKSTATION 640TM
但是,控制器160可实现为响应于执行存储器中包含的一个或多个指令中的一个或多个序列的处理器来基于本发明的处理步骤执行微处理器中的一部分或全部的通用计算机。这些指令可以从诸如硬盘或可移除介质驱动器之类的其他计算机可读介质读取到控制器存储器中。多处理布置中的一个或多个处理器可以被用作控制器微处理器以执行主存储器中包含的指令的序列。在可选实施例中,可以代替软件指令或与软件指令结合使用硬线电路。于是,实施例不限于硬件电路和软件的任何特定组合。
控制器160可以包括诸如控制器存储器之类的至少一种计算机可读介质或存储器,以保存根据本发明教导编程的指令并包含实现本发明所需的数据结构、表、记录或其他数据。计算机可读介质的示例是紧致盘、硬盘、软盘、磁带、磁光盘、PROM(EPROM、EEPROM、闪存EPROM)、DRAM、SRAM、SDRAM或其他磁介质、紧致盘(CD-ROM)或其他光介质、穿孔卡、纸带或其他具有孔图案的物理介质、载波(以下描述)或任何其他计算机可读的介质。
本发明包括存储在计算机可读介质中的任一种上或其组合上的软件,用于控制控制器180、用于驱动实现本发明的一个或多个设备、并/或用于使控制器能够与人类用户交互。这些软件可以包括但不限于设备驱动、操作系统、开发工具和应用软件。这些计算机可读介质还包括本发明的计算机程序产物以执行载实现本发明时执行的处理的全部或部分(如果处理是分布式的)。
本发明的计算机编码设备可以是任何可翻译或可执行编码机构,包括但不限于代码(script)、可翻译程序、动态链接库(DLL)、Java类、和完整的可执行程序。而且,为了更良好的性能、可靠性和/或成本,本发明的处理的部分可以是分布的。
此处使用的术语“计算机可读介质”表示参与向控制器160的处理器提供指令以执行的任何介质。计算机可读介质可以采取许多形式,包括但不限于非易失性介质、易失性介质和传播介质。非易失性介质包括例如光盘、磁盘和磁光盘,诸如硬盘或可移除介质驱动器。易失性介质包括诸如主存储器之类的动态存储器。而且,计算机可读介质的各种形式可以涉及实现对控制器的处理器的一个或多个指令的一个或多个序列以用于执行。例如,指令可以最初承载在远程计算机的磁盘上。远程计算机可以远程地将用于实现本发明的全部或部分的指令加载到动态存储器中,并通过网络将指令发送到控制器160。
控制器160可以相对于材料处理系统100位于本地,也可以相对于材料处理系统100位于远程。例如,控制器160可以使用直接连接、内部网和互联网中的至少一种与材料处理系统100交换数据。控制器160可以在例如用户所在地(即器件制造商等)连接到内部网,也可以在例如卖主所在地(即设备制造商)连接到内部网。另外,例如,控制器160可以连接到互联网。此外,另外的计算机(即控制器、服务器等)可以例如访问控制器160以通过直接连接、内部网和互联网中至少一种来交换数据。
根据图5所示的处理器系统200的另一个示例性实施例,衬底夹持器120可以还包括分别紧邻第一(中心)热区和第二(外周或边缘)热区的两个或更多个温度传感器170和175。温度传感器可以包括热电偶(例如K式热电偶)。或者,温度传感器也可以包括可从Advanced Energies,Inc.(1625 Sharp point Drive,Fort Collins,CO,80525)买到的OR2000F型光纤温度计,它能够测量从50到2000℃的温度,精度为正负1.5℃;或者是如2002年7月2日提交的题为“Method of wafer band-edge measurementusing transmission spectroscopy and a processor controlling the temperatureuniformity of a wafer”的在审的美国专利申请No.10/168,544中描述的带边(band-edge)温度测量系统,该申请的全部内容通过引用而结合于此。这两个或更多个温度传感器170和175可以为控制器160提供温度测量,作为向为了获得目标温度分布而采用的控制算法供给的反馈。
例如,控制器160可以调节到一个或多个热电器件的电流或电压极性,以相对于传热流体的第二流体温度调节传热流体的第一流体温度。此外,控制器160可以调节本体流体温度、本体流率、第一流率或第二流率。
根据图6所示的另一种示例性实施例,材料处理系统300可以类似于图1和图5所示的实施例并包括衬底夹持器220,该衬底夹持器220还包括位于第一流体通道140与第二流体通道145之间的热绝缘体225。热绝缘体225与第一流体通道140和第二流体通道145基本上同心。热绝缘体225插入第一流体通道140与第二流体通道145之间以使衬底夹持器220中这些可控热区横向隔开。热绝缘体225可以是具有低导热性(与衬底夹持器220的导热性相比)的任何材料或材料组合。或者,热绝缘体225可以包括具有可调导热性的热绝缘体,例如压力可变的气隙。更多细节可以在2003年11月26日提交的题为“Method and system for substratetemperature profile control”的在审美国专利申请No.10/721,500中找到,其全部内容通过引用而结合于此。
根据图7所示的另一种示例性实施例,材料处理系统400可以例如类似于图1、图5和图6所示的实施例并可以包括衬底夹持器320,衬底夹持器320还包括两个或更多温度控制元件331和332。每个温度控制元件,即331和332可以例如包括用于流动传热流体的另一个流体通道、电阻加热元件或热电器件(或其阵列)。另外,衬底夹持器320可选地包括热绝缘体325。使用位于第一和第二流体通道140和145上方的两个或更多温度控制元件可以为加热和冷却衬底135提供额外的控制。
根据图8所示的另一种示例性实施例,材料处理系统500可以类似于图1、图5、图6和图7所示的实施例并可以包括衬底夹持器420,衬底夹持器420还包括两个或更多个可控绝缘元件441和442。每个可控绝缘元件,即441和442可以包括压力可变的气隙,以对衬底夹持器420中的热流进行垂直控制。更多细节可以在2003年3月28日提交的题为“Methodand system for temperature control of a substrate”的美国临时申请No.60/458,053中找到,其全部内容通过引用而结合于此。
根据图9所示的另一种示例性实施例,衬底夹持器可以例如类似于图6的实施例,并且可以包括衬底夹持器620,衬底夹持器620还包括两个单独的热区。中心热区635提供衬底夹持器620的中心部分的温度控制。外热区630提供衬底夹持器620的外周部分的温度控制。可选的热绝缘体625可以分隔热区635和热区630。
中心热区635由流体通道640构成,所述流体通道640由同心圆通路636,637,638和639以多个部分形成。同心圆通路636,637,638和639分别由连接段641,642和643连接。此几何形状最大程度地接近方位角对称,因为圆形几何形状仅仅在连接段的区域中相邻圆形通路之间的一个位置处被中断。传热流体循环通过中心热区635,在位置612B进入,在位置622处返回。
以类似方式,外热区630由流体通道645构成,所述流体通道645由同心圆通路648和649以多个部分形成。同心圆通路648和649由连接段646连接。如上所述,此几何形状最大程度地接近方位角对称,因为圆形几何形状仅仅在连接段的区域中相邻圆形通路之间的一个位置处被中断。传热流体循环通过外热区630,在位置623进入,在位置621A处返回。
图10示出了描述方法900的流程图,方法900用于控制处理系统中衬底夹持器上衬底的温度分布。温度分布方案可以与处理系统中的处理所用的多个处理步骤有关。衬底夹持器可以包括图1、图5、图6、图7或图8中所示那些中的一种。方法900开始于步骤905,对控制衬底温度分布所用的控制参数进行初始化。此处所用的“控制温度分布”表示对衬底夹持器的不同空间区域进行单独控制以获得均匀的或非均匀的衬底温度。控制参数包括用于第一热区的输入参数和用于第二热区的输入参数。控制参数还可以包括但不限于,用于热电器件电流、电压和极性的输入参数、用于静电夹持HV DC电源电压的输入参数、用于静电夹持HV DC电源电流的输入参数、用于背面气体供给系统的输入参数、气体注入系统的输入参数、真空泵系统的输入参数等。用于第一和第二热区的输入参数可以例如包括流体流率(即速度)、或流体温度、或者这两者。用于静电夹持HVDC电源电压的输入参数可以例如包括夹持电压。用于静电夹持HV DC电源电流的输入参数可以例如包括夹持电流。用于背面气体供给系统的输入参数可以例如包括背面流率、背面压力、或背面气体类型。用于气体注入系统的参数可以例如包括一个或多个气体注入流率、一个或多个气体注入压力、或者一个或多个注入气体类型。
在步骤910,可以设定步骤905中确定的控制参数以便执行对衬底、衬底夹持器或处理系统或其中两者或更多的任意组合的预处理。衬底的预处理可以例如包括夹紧衬底、建立预定的背面压力、将衬底温度调节到预定值等。
在步骤915,在处理系统中对处理进行初始化以处理衬底,并在步骤920对控制参数进行控制和/或调整。可以根据预定处理工艺对控制参数进行控制和/或调整。或者,也可以根据对用温度传感器件(温度传感器)测量的温度与处理工艺规定的处理条件进行的对比来对控制参数进行控制和/或调整。或者,也可以将预定处理工艺以及对用温度传感器件测量的温度与处理程序规定的处理条件进行的对比相结合,据此对控制参数进行控制和/或调整。
在步骤925,处理终止,此后可选地对控制参数进行控制和/或调整,以便对衬底、衬底夹持器或处理系统中至少一项进行后处理。
尽管上面只详细说明了本发明的某些示例性实施例,但是本领域技术人员在不实质性脱离本发明的新颖教导和优点的情况下,易于想到对这些示例性实施例进行的许多修改。因此,所有的这种修改都应包括在本发明的范围内。

Claims (18)

1.一种温度控制系统,包括:
第一流体通道,其耦合到处理系统中的处理元件的第一热区,并构造来接收处于第一流体温度的传热流体的第一流;
第二流体通道,其耦合到所述处理系统中的所述处理元件的第二热区,并被构造来接收处于第二流体温度的传热流体的第二流;
热交换器单元,其被构造来提供处于本体流体温度的传热流体的本体流,其中所述传热流体的所述本体流供给所述传热流体的所述第一流和所述传热流体的所述第二流;以及
传热单元,其耦合到所述热交换器,并被构造来接收所述传热流体的所述本体流,其中所述传热单元被构造来通过在所述传热流体的所述第一流经过的所述第一传热区和所述传热流体的所述第二流经过的所述第二传热区之间传热,提供处于所述第一流体温度的所述传热流体的所述第一流和提供处于所述第二流体温度的所述传热流体的所述第二流。
2.根据权利要求1所述的温度控制系统,其中所述传热单元将热从所述传热流体的所述第一流传到所述传热流体的所述第二流,使得所述第一流体温度低于所述本体流体温度,使得所述第二流体温度高于所述本体流体温度。
3.根据权利要求1所述的温度控制系统,其中,所述传热单元包括一个或多个热电器件,所述一个或多个热电器件具有耦合到所述第一传热区的第一表面和耦合到所述第二传热区的第二表面,其中所述一个或多个热电器件耦合到功率源,以在所述第一表面和所述第二表面之间的产生温度差。
4.根据权利要求3所述的温度控制系统,其中,所述一个或多个热电器件的所述第一表面和所述第二表面之间的所述温度差的大小通过调节从所述功率源耦合到所述一个或多个热电器件的电流而被改变。
5.根据权利要求3所述的温度控制系统,其中,所述传热流体的所述第一流和所述传热流体的所述第二流之间的传热方向通过调节从所述功率源耦合到所述一个或多个热电器件的电压的极性而被改变。
6.根据权利要求1所述的温度控制系统,其中,所述传热单元包括耦合到所述第一传热区域的第一入口和耦合到所述第二传热区域的第二入口,并且其中,所述第一入口和所述第二入口被耦合到所述热交换器的出口,使得所述传热单元的所述本体流被分成所述第一流和所述第二流。
7.根据权利要求1所述的温度控制系统,其中,所述传热单元包括耦合到所述第一传热区域和所述第一流体通道的入口的第一出口和耦合到所述第二传热区域和所述第二流体通道的入口的第二出口。
8.根据权利要求1所述的温度控制系统,其中,所述热交换器被耦合到所述第一流体通道的出口和所述第二流体通道的出口,并且被构造来接收来自所述第一流体通道和所述第二流体通道的所述传热流体。
9.根据权利要求1所述的温度控制系统,其中,所述处理元件包括所述处理系统的衬底夹持器。
10.根据权利要求9所述的温度控制系统,其中,所述第一热区紧邻所述衬底的中心部分,所述第二热区紧邻所述衬底的边缘区域。
11.根据权利要求9所述的温度控制系统,其中,所述衬底包括半导体晶片。
12.根据权利要求9所述的温度控制系统,其中,所述衬底被夹紧到所述衬底夹持器。
13.根据权利要求9所述的温度控制系统,其中,传热气体被提供到所述衬底的背面表面和所述衬底夹持器的上表面之间的空间。
14.根据权利要求1所述的温度控制系统,还包括:
控制器,其耦合到所述热交换器,并被构造来调节所述传热流体的所述本体流的流量或所述本体流体温度或两者。
15.根据权利要求1所述的温度控制系统,还包括:
控制器,其耦合到所述传热单元,并被构造来调节所述传热流体的所述第一流的流率、所述第一流体温度、所述传热流体的所述第二流的流率或其两者或更多的任意组合。
16.一种利用如权利要求1所述的温度控制系统控制被保持在衬底夹持器上的衬底的温度的方法,包括:
将第一传热流体提供到所述第一流体通道;
将第二传热流体提供到所述第二流体通道;以及
利用所述传热单元,相对于所述第二传热流体的第二流体温度控制所述第一传热流体的第一流体温度。
17.一种包含用于在计算机系统上执行的程序指令的计算机可读介质,当所述程序指令由所述计算机系统执行时,使得用于控制如权利要求1所述的温度控制系统的所述计算机系统执行如下步骤:
将第一传热流体提供到所述第一流体通道;
将第二传热流体提供到所述第二流体通道;以及
利用所述传热单元,相对于所述第二传热流体的第二流体温度控制所述第一传热流体的第一流体温度。
18.一种温度控制系统,包括:
处理系统中的处理元件的第一热区,其被构造来接收处于第一流体温度的传热流体的第一流;
所述处理系统中的所述处理元件的第二热区,其被构造来接收处于第二流体温度的传热流体的第二流;以及
传热单元,其在所述传热流体的所述第一流和所述传热流体的所述第二流之间传热,使得所述第一流体温度不同于所述第二流体温度。
CNA2007800114903A 2006-03-28 2007-01-25 多区衬底温度控制系统及操作方法 Pending CN101410190A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/390,471 2006-03-28
US11/390,471 US8343280B2 (en) 2006-03-28 2006-03-28 Multi-zone substrate temperature control system and method of operating

Publications (1)

Publication Number Publication Date
CN101410190A true CN101410190A (zh) 2009-04-15

Family

ID=38573889

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2007800114903A Pending CN101410190A (zh) 2006-03-28 2007-01-25 多区衬底温度控制系统及操作方法

Country Status (6)

Country Link
US (1) US8343280B2 (zh)
JP (1) JP2009531867A (zh)
KR (1) KR20080114795A (zh)
CN (1) CN101410190A (zh)
TW (1) TWI357631B (zh)
WO (1) WO2007117740A2 (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102009941A (zh) * 2010-10-09 2011-04-13 北京大学 微纳米流体系统及其制备方法
CN102136668A (zh) * 2011-03-06 2011-07-27 四川大学 薄片激光器激光介质的温度控制方法及其装置
CN102509714A (zh) * 2011-11-18 2012-06-20 中微半导体设备(上海)有限公司 快速控制静电吸盘温度的装置及方法
CN104471701A (zh) * 2012-07-18 2015-03-25 应用材料公司 具有多区温度控制及多重净化能力的基座
CN104900561A (zh) * 2014-03-07 2015-09-09 台湾积体电路制造股份有限公司 自适应烘烤系统及其使用方法
CN104965990A (zh) * 2015-07-10 2015-10-07 福州大学 一种采用纳米流体强化微纳米尺度通道传热的方法
CN105993062A (zh) * 2014-02-14 2016-10-05 应用材料公司 用于稳定化高温沉积的气冷式基板支撑件
CN108072215A (zh) * 2016-11-08 2018-05-25 中屋有限公司 使用冷却器的循环液温度控制方法
CN110214367A (zh) * 2017-01-16 2019-09-06 Ers电子有限公司 用于对衬底进行调温的设备和相对应的制造方法
WO2022016962A1 (zh) * 2020-07-21 2022-01-27 长鑫存储技术有限公司 温度调控系统及温度调控方法
CN114675686A (zh) * 2022-03-18 2022-06-28 长江存储科技有限责任公司 用于多腔室的温度控制系统以及温度控制方法

Families Citing this family (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283553B1 (en) * 2007-09-21 2012-10-09 Hrl Laboratories, Llc Photon enhanced thermoelectric power generation
DE102007059717B4 (de) 2007-12-12 2011-02-03 R3T Gmbh Rapid Reactive Radicals Technology Vorrichtung und Verfahren zur Herstellung von Mikrobauteilen sowie Verwendung einer derartigen Vorrichtung
JP4263761B1 (ja) * 2008-01-17 2009-05-13 トヨタ自動車株式会社 減圧式加熱装置とその加熱方法および電子製品の製造方法
US8616266B2 (en) * 2008-09-12 2013-12-31 Rockwell Collins, Inc. Mechanically compliant thermal spreader with an embedded cooling loop for containing and circulating electrically-conductive liquid
US8650886B2 (en) * 2008-09-12 2014-02-18 Rockwell Collins, Inc. Thermal spreader assembly with flexible liquid cooling loop having rigid tubing sections and flexible tubing sections
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2012011423A1 (ja) * 2010-07-22 2012-01-26 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
CN102412169B (zh) * 2010-09-21 2014-05-28 和舰科技(苏州)有限公司 一种具有温度自动控制功能的晶片加工机台
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5969488B2 (ja) * 2011-10-05 2016-08-17 京セラ株式会社 試料保持具
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9377423B2 (en) 2012-12-31 2016-06-28 Cascade Microtech, Inc. Systems and methods for handling substrates at below dew point temperatures
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
CN105336562B (zh) 2014-07-22 2018-03-09 中芯国际集成电路制造(北京)有限公司 热处理腔室和热处理方法、涂布设备
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
DE102015100640A1 (de) * 2015-01-19 2016-07-21 Aixtron Se Vorrichtung und Verfahren zum thermischen Behandeln von Substraten
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US20170051402A1 (en) * 2015-08-17 2017-02-23 Asm Ip Holding B.V. Susceptor and substrate processing apparatus
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170248973A1 (en) * 2016-02-29 2017-08-31 Cascade Microtech, Inc. Probe systems and methods including active environmental control
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10658168B2 (en) 2018-05-03 2020-05-19 Perkinelmer Health Sciences Canada, Inc. Multiple gas flow ionizer
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102161537B1 (ko) * 2018-11-16 2020-10-05 (주)엠크래프츠 전자현미경용 시료대
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2021149467A (ja) * 2020-03-18 2021-09-27 株式会社Kelk 温度制御システム
US11493551B2 (en) 2020-06-22 2022-11-08 Advantest Test Solutions, Inc. Integrated test cell using active thermal interposer (ATI) with parallel socket actuation
US11549981B2 (en) 2020-10-01 2023-01-10 Advantest Test Solutions, Inc. Thermal solution for massively parallel testing
US11821913B2 (en) 2020-11-02 2023-11-21 Advantest Test Solutions, Inc. Shielded socket and carrier for high-volume test of semiconductor devices
US11808812B2 (en) 2020-11-02 2023-11-07 Advantest Test Solutions, Inc. Passive carrier-based device delivery for slot-based high-volume semiconductor test system
US20220155364A1 (en) 2020-11-19 2022-05-19 Advantest Test Solutions, Inc. Wafer scale active thermal interposer for device testing
US11609266B2 (en) 2020-12-04 2023-03-21 Advantest Test Solutions, Inc. Active thermal interposer device
US11573262B2 (en) 2020-12-31 2023-02-07 Advantest Test Solutions, Inc. Multi-input multi-zone thermal control for device testing
US11587640B2 (en) 2021-03-08 2023-02-21 Advantest Test Solutions, Inc. Carrier based high volume system level testing of devices with pop structures
US11656273B1 (en) 2021-11-05 2023-05-23 Advantest Test Solutions, Inc. High current device testing apparatus and systems
US11796589B1 (en) 2022-10-21 2023-10-24 AEM Holdings Ltd. Thermal head for independent control of zones
US11828795B1 (en) 2022-10-21 2023-11-28 AEM Holdings Ltd. Test system with a thermal head comprising a plurality of adapters for independent thermal control of zones
US11693051B1 (en) 2022-10-21 2023-07-04 AEM Holdings Ltd. Thermal head for independent control of zones
US11656272B1 (en) 2022-10-21 2023-05-23 AEM Holdings Ltd. Test system with a thermal head comprising a plurality of adapters and one or more cold plates for independent control of zones
US11828796B1 (en) 2023-05-02 2023-11-28 AEM Holdings Ltd. Integrated heater and temperature measurement

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0054201B1 (en) 1980-12-11 1986-11-05 Kabushiki Kaisha Toshiba Dry etching device and method
KR900002143B1 (ko) 1985-03-29 1990-04-02 미쯔비시 덴끼 가부시기가이샤 덕트식 멀티조온 공조시스템
JPH0834205B2 (ja) 1986-11-21 1996-03-29 株式会社東芝 ドライエツチング装置
EP0283311B1 (en) * 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
TW204411B (zh) 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5622639A (en) * 1993-07-29 1997-04-22 Tokyo Electron Kabushiki Kaisha Heat treating apparatus
JPH0786174A (ja) * 1993-09-16 1995-03-31 Tokyo Electron Ltd 成膜装置
KR960002534A (ko) 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
US5733426A (en) 1995-05-23 1998-03-31 Advanced Micro Devices, Inc. Semiconductor wafer clamp device and method
US5802856A (en) * 1996-07-31 1998-09-08 Stanford University Multizone bake/chill thermal cycling module
US5876879A (en) 1997-05-29 1999-03-02 International Business Machines Corporation Oxide layer patterned by vapor phase etching
US6074951A (en) 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6527865B1 (en) 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
US20020011216A1 (en) 1999-06-04 2002-01-31 Tue Nguyen Integral susceptor-wall reactor system and method
WO2001070517A1 (en) 2000-03-20 2001-09-27 Tokyo Electron Limited High speed stripping for damaged photoresist
US6284006B1 (en) 1999-11-15 2001-09-04 Fsi International, Inc. Processing apparatus for microelectronic devices in which polymeric bellows are used to help accomplish substrate transport inside of the apparatus
US20010016226A1 (en) 1999-12-15 2001-08-23 International Business Machines Corporation Method for preparing the surface of a dielectric
JP4808889B2 (ja) * 2000-01-05 2011-11-02 東京エレクトロン株式会社 透過分光を用いるウェハ帯域エッジの測定方法、及びウェハの温度均一性を制御するためのプロセス
US6245619B1 (en) 2000-01-21 2001-06-12 International Business Machines Corporation Disposable-spacer damascene-gate process for SUB 0.05 μm MOS devices
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6271094B1 (en) 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP4672113B2 (ja) 2000-07-07 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマ処理装置
KR20020037695A (ko) 2000-11-14 2002-05-22 히가시 데쓰로 기판 처리장치 및 기판 처리방법
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6672076B2 (en) * 2001-02-09 2004-01-06 Bsst Llc Efficiency thermoelectrics utilizing convective heat flow
US20020195201A1 (en) 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
JP3910925B2 (ja) 2003-02-25 2007-04-25 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
WO2004095559A1 (ja) * 2003-04-22 2004-11-04 Tokyo Electron Limited シリコン酸化膜の除去方法及び処理装置
US20050227494A1 (en) 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US20050218113A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US20050211264A1 (en) * 2004-03-25 2005-09-29 Tokyo Electron Limited Of Tbs Broadcast Center Method and processing system for plasma-enhanced cleaning of system components
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US20060027169A1 (en) * 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102009941B (zh) * 2010-10-09 2013-12-11 北京大学 微纳米流体系统及其制备方法
CN102009941A (zh) * 2010-10-09 2011-04-13 北京大学 微纳米流体系统及其制备方法
CN102136668A (zh) * 2011-03-06 2011-07-27 四川大学 薄片激光器激光介质的温度控制方法及其装置
CN102509714A (zh) * 2011-11-18 2012-06-20 中微半导体设备(上海)有限公司 快速控制静电吸盘温度的装置及方法
CN102509714B (zh) * 2011-11-18 2014-08-27 中微半导体设备(上海)有限公司 快速控制静电吸盘温度的装置及方法
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
CN104471701A (zh) * 2012-07-18 2015-03-25 应用材料公司 具有多区温度控制及多重净化能力的基座
CN105993062B (zh) * 2014-02-14 2020-08-11 应用材料公司 用于稳定化高温沉积的气冷式基板支撑件
CN105993062A (zh) * 2014-02-14 2016-10-05 应用材料公司 用于稳定化高温沉积的气冷式基板支撑件
US11204200B2 (en) 2014-03-07 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking method
CN104900561B (zh) * 2014-03-07 2018-02-13 台湾积体电路制造股份有限公司 自适应烘烤系统及其使用方法
CN104900561A (zh) * 2014-03-07 2015-09-09 台湾积体电路制造股份有限公司 自适应烘烤系统及其使用方法
CN104965990B (zh) * 2015-07-10 2018-08-17 福州大学 一种采用纳米流体强化微纳米尺度通道传热的方法
CN104965990A (zh) * 2015-07-10 2015-10-07 福州大学 一种采用纳米流体强化微纳米尺度通道传热的方法
CN108072215A (zh) * 2016-11-08 2018-05-25 中屋有限公司 使用冷却器的循环液温度控制方法
CN110214367A (zh) * 2017-01-16 2019-09-06 Ers电子有限公司 用于对衬底进行调温的设备和相对应的制造方法
CN110214367B (zh) * 2017-01-16 2023-08-29 Ers电子有限公司 用于对衬底进行调温的设备和相对应的制造方法
WO2022016962A1 (zh) * 2020-07-21 2022-01-27 长鑫存储技术有限公司 温度调控系统及温度调控方法
CN114675686A (zh) * 2022-03-18 2022-06-28 长江存储科技有限责任公司 用于多腔室的温度控制系统以及温度控制方法
CN114675686B (zh) * 2022-03-18 2023-06-13 长江存储科技有限责任公司 用于多腔室的温度控制系统以及温度控制方法

Also Published As

Publication number Publication date
KR20080114795A (ko) 2008-12-31
WO2007117740A3 (en) 2007-12-27
US20070235134A1 (en) 2007-10-11
TWI357631B (en) 2012-02-01
JP2009531867A (ja) 2009-09-03
US8343280B2 (en) 2013-01-01
TW200807613A (en) 2008-02-01
WO2007117740A2 (en) 2007-10-18

Similar Documents

Publication Publication Date Title
CN101410190A (zh) 多区衬底温度控制系统及操作方法
US8410393B2 (en) Apparatus and method for temperature control of a semiconductor substrate support
TWI796292B (zh) 用於電漿處理腔室中晶圓載體的先進溫度控制
TWI621150B (zh) 電漿處理室、溫度控制介電窗、及其溫度控制方法
CN201343570Y (zh) 温控喷淋头
US20060027169A1 (en) Method and system for substrate temperature profile control
JP4772779B2 (ja) 温度制御方法及び温度制御装置
TWI674638B (zh) 工件處理系統及其設備
US20150285857A1 (en) Direct liquid-contact micro-channel heat transfer devices, methods of temperature control for semiconductive devices, and processes of forming same
CN102870503B (zh) 具有区域依赖性热效率的温度受控等离子体处理腔室部件
CN104911544B (zh) 控温盘
CN102903654B (zh) 用于控制衬底支撑件的温度的设备和方法
CN104988472B (zh) 半导体镀膜设备控温系统
CN205465663U (zh) 化学机械研磨装置
US6472643B1 (en) Substrate thermal management system
CN220503194U (zh) 控温装置及半导体设备
KR20020066358A (ko) 반도체 제조 장치에 사용되는 다채널 온도 조절 장치
TW202310123A (zh) 具有金屬基複合材料的高溫基座
JPH03208331A (ja) 処理装置
JPH0296812A (ja) 温度制御装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20090415