TWI355688B - Post-etch treatment system for removing residue on - Google Patents

Post-etch treatment system for removing residue on Download PDF

Info

Publication number
TWI355688B
TWI355688B TW096110399A TW96110399A TWI355688B TW I355688 B TWI355688 B TW I355688B TW 096110399 A TW096110399 A TW 096110399A TW 96110399 A TW96110399 A TW 96110399A TW I355688 B TWI355688 B TW I355688B
Authority
TW
Taiwan
Prior art keywords
processing
substrate
gas
free radical
processing system
Prior art date
Application number
TW096110399A
Other languages
English (en)
Other versions
TW200746289A (en
Inventor
Yuji Tsukamoto
Thomas Hamelin
Yasuhisa Kudo
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200746289A publication Critical patent/TW200746289A/zh
Application granted granted Critical
Publication of TWI355688B publication Critical patent/TWI355688B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

1355688 九、發明說明: 相關申請案之交互參照 本發明係關於申請中之美國專利申請案第xx/xxxxxx,名稱 為’’METHOD OF REMOVING RESIDUE ON A SUBSTRATE ” ’ 委 託案號第287925US以及申請於内附上曰期。以及申請中之美國專 利申請案第xx/xxxxxx,名稱為”GAS DISTRIBUTION SYSTEM FOR A POST-ETCH TREATMENT SYSTEM ”,代理人案號第 287929US以及申請於内附之曰期。這些内容皆作為參照包含於其 中。 【發明所屬之技術領域】 本發明係關於處理基板的方法與設備,尤其是關於用以在蝕 刻製程後降低基板損害之處理的蝕刻後處理系統。
【先前技術】 半導體處理期間’一(乾式)電聚蝕刻製程可被用以沿著細線或 者在矽基板上加以圖案化之介層洞或接點内移除或蝕刻材料。電 漿餘刻製程-般包含,以—上覆_化之保護遮 將半導體基板設置於處理室卜—旦該基板被置於‘二,層一) 可離子化解離H體混合物以-預先指定的流速導人該處理室内, 而此時真空泵浦被調節至達到周圍製程壓力。 ^ 在部份氣體物種是由透過電感式或電容式 二L a歹φ電子迴旋共振(ECR)之微波功率之射頻_功率轉 力:熱之電子加以離子化時,便職賴。此外,受執電子可 ϊίίΞΞί體物,Γ並且產生適合於暴露表面_化學物 達到適當的條件’包含理想反應物之適當濃 "曰网、接點等等)。此種需要餘刻的基板材料包含二氧化石夕溝 5 1355688 (Si〇2)、低介電常數介電材料、多晶矽以及氤化石夕。一旦使用如乾 式電漿蝕刻之方式將圖案從圖案化的遮罩層轉移到下層,光阻殘 ,層以及蝕刻後殘留物會經由灰化(或剝除)製程被移除a。舉例來 堍二在習知灰化製程中,將含有殘留光阻層之基板被暴露於由通 入二原子氧(〇2)及其離子化/解離而形成的氧氣電漿。然而,極接 ··,基板而形成電漿可能導致無法控制暴露於高能量帶g粒子(如高 ^能電子等等)與電磁(EM)輕射(如紫外線(UV)$|射),如此可能會引 起裝置製造廠無法接受之下層及/或基板的損害。
【發明内容】 本發明係關於處理基板的系統,以及以自由基或分子團處理 基板的系統。 根據一實施例,描述使用自由基流或分子團流來移除基板上 殘留物的一處理系統。根據其他實施例,一處理系統包含:一含 有處理區的處理室;—連制處理室的遠距自由基產生系統,用 以接收處理氣體以及從處理氣體產生自由基並傳送自由基到基板 亡之處理室中的處理11 連接到處理室的支料,用以在處理 =的處理區中讀基板,並且控制基板溫度1支_包含一或 ,多在支撑架上表面形成的賴’其中,該—歧多溝槽中的至 二^-延伸,支歡H也包含在祕裡的是連制處理室 一,空泵浦系統,用以排空處理室。根據本發明的其他實施樣態, 、土处理系統包含·—含有處理區的處理室;一連接到該處理室的 ,,自由基產生系統,用以接收處理氣體以及從該處理氣體產生 並傳送該自由基到基板上的該處理室。支縣板而使基板 ^動最小的方法為在該製程室中定位。也包含加熱基板的方 凌’以及連接到處理室並用以排空處理室的真空泵浦系統。 【實施方式】 接下來的描述係為了促使對本發明完全了解,並以說明為目
[S 6 1355688 的且ί加以限制,如處理系統的特定幾何以及各種製程說明之特 f細節係敘述如下。然而吾人需明瞭本發明也許會以其他不同於 這些細節的實施例被實行。 在,料處理方法中,圖案蝕刻包含把如光阻之感光材料薄層 施加到後來圖案化的基板上表面,以在蝕刻期間提供遮罩來轉移 此圖案到基板上下層的薄膜。形成感光材料的圖案通常包含:藉 由輻射源經過下述感光材料之初縮遮罩(reticle)(以及伴隨的光學 =件)而曝光’該感光材料使用如一顯微触刻系統,接著使用顯影 $劑而移除感光材料照射區(如在正光阻的情形下),或是感光材料 非照射區(如在負光阻的情形下)。 舉例來說,如圖1A到1C所示,可利用包含具有圖案2之感光 層(如圖案化,光阻)的遮罩3,以轉移特徵部圖案到基板5上的薄膜 1中。圖案2藉由使用如乾式電祕刻的方式被轉移到薄膜4以形成 特徵部6 ’而且飯刻完成之後,遮罩3立刻被移除。習知上,與苴 =來自多晶報刻的齒素殘留物—樣,遮罩3藉由將基板浸入’如 氧氣電漿之電漿中而移除,並且殘留電漿與钱刻後 戈剝除)。然而,當對具有·或敏銳的結構或膜層 式π理時’如在半導體製造中之前段製程朗綱,將 ί^ί漿會因高能(帶電)粒子、電磁(ΕΜ)輻射等等的存在而有不 根據一實施例,圖2中的處理系統100包含一處理 理室110具有支撐架m以支職板125,如_後處 = 製程在基板125上執行。舉例來說,基板125可為半' b广 2:=外’自由基產生系統115經由自由基傳送= 處理室110更經由導管及塵力控制系統(如真 空栗浦系統Π0,其中泵浦系統17〇用以排空處 送系統140以及自由基產生系統115,以達到一 基傳 基板125上執行處理製程,以及適合於在自由基產生^ 7 < S > 1355688 成自由基。 仍參照圖2 ’自纟基產生系統115用以在遠端而從處理氣體產 生原子基或分子基或兩者,該處理氣體係透過一個或更多氣體供 應管162而由氣體供應糸統16〇加以供應。形成於遠距自由基產生 系統115的自由基經過自由基傳送系統14〇傳送並且導入在基板 ·-丨25上的處理區145。自由基傳送系統140導入自由基到處理區 • I45,而又提供最小阻抗給自由基流以及防止自由基在到達基板表 面之前重組。舉例來說’自由基傳送系統能包含:一導管入口, 連接到自由基產生系統115的出口;以及一導管出口,連接到處理 φ 室 110。 自由基產生系統115用以生成一或更多化學自由基以能在對 ,板125的損害最小下,與殘留的遮罩層或光阻、蝕刻後殘留物等 專lx生化子變化並且移除过些殘留的遮罩層或光阻、餘刻後殘留 - 物等等。舉例而言,自由基產生系統115能包含一用以從一下述之 - 處理氣體生成氧或氣或之自由基之上游電漿源,該處理氣體包含 含氧氣體或含氣氣體、或兩者。例如,處理氣體能分別包含氧氣 (〇2) ' CO ' C02' NO、N〇2、N20(或是更廣泛的Νχ〇γ)、n2、三 氟化氮(NF3)、NH3、〇3、xeF2、C1F34C3f8(或是更廣泛的CxFy), 或者上述之二或更多之任何組合。自由基產生系統115可以包含一 擊Astron®反應氣體生成器,市場上可由MKS儀器股份有限公司, ASTeX® Products(90 Industrial Way,Wilmington,MA 01887)購得。 除了供應處理氣體到自由基產生系統115,氣體供應系統16〇 更可以用以經過一或者更多氣體應管162來提供辅助處理氣體 至自由基產生系統115»輔助處理氣體可用作為載氣來幫助形成於 自由基產生系統115之自由基運送至處理區145,或者輔助處理氣 ' 體可用來稀釋處理氣體以及自處理氣體形成的自由基。辅助處理 氣體可包含不活潑的氣體,如惰性氣體(如He、Ne、Ar、心、Xe) 或是氮(NO,或者其相關組合。舉例來說,將氮添加入帶有氧的自 由基產生系統115可以幫助氧的解離。此外,氣體供應系統16〇可 i S > 8 用 入5,輔=處理氣體經由一或更多的辅助氣體供應管丨64直接導 土轉往至11 〇。 源、然沒有表示出來,氣體供應系統160可以包含一或更多氣體 二n或制閥、一或更多滤器’以及,或—或更多質量流 >牛列來說,處理氣體或輔助處理氣體流速範圍可從約1 ΓΪ1(母★分鐘1標準立方公分)1000 sccm(每分鐘10標準升,―)。例 處^氣體或輔助處理氣體流速範圍可從約1 slm到約5slm。經由 Μ、ιΓ範例的處理氣體或輔助處理氣體,流速範圍可從約3slm到約5 j足自由基產生系統115的下游,自由基流經自由基傳送系統 ^流入處理室110中的處理區145。自由基傳送系統140可連 線溫度控制系統(未表示出)以控制溫度。舉例來說,溫度 设定範圍可從約2〇度C到約1〇〇度c,而且經由其他範例,溫度值 設定範圍可從約40度C到約80度C。此外,例如自由基傳送系統14〇 之特徵可為超過約5〇公升/每秒的高傳導度。 一旦自由基流進入處理區145,自由基與在基板125表面上之 殘=物產生化學變化。支撐架1獅以藉加熱元件135鮮基板125 的/見度’且插入支撐架120之加熱元件135連接到溫度控制系統 130。加熱元件135可為電阻式加熱元件,或者加熱元件I%可包含 曰熱電裝置的陣列。在基板支樓架上使賴電裝置之額外細節係 提供於申請中的美國專利申請案第10/809787號,名稱 ^"METHOD AND APPARATUS FOR RAPID TEMPERATURE CHANGE AND CONTROL”之檔案,這些内容皆在這裡作為參照 ^含於其中。巧例來說,溫度控制系統13〇可用以提高基板125之 皿巧升至約500C。在一實施例中,基板溫度範圍可從約4〇。〇到約 500°C。在另一實施例中,基板溫度範圍可從約1〇〇。(:到約3〇〇t。 此外,處理室110可連接到用以控制室壁溫度之溫度控制系統13〇。 除了提昇基板125的溫度之外,支撐架丨2〇用以在處理期間支 撐基板125。支樓架120更可包含一能夠升高與降低三或更多的升 1355688 小。一或更多的溝槽中的至少其一
延伸至支撐架120的一端以允許 丨2〇上表面之間,這樣能使基板 ,案_之溝_1以及第二陣列之溝獅2A體上互相正 父並且第一排列之放射狀溝槽801延伸到支撐架120,之圓周邊。圖 11的支撐架120”具有一實質上為放射狀溝槽9〇1之排列,並且延伸 '到支撐架120之圓周邊。 • 如圖2所示,一排氣管線連接處理室no到真空果浦系統17〇。 真工系浦系統170包含一真空果浦以排空處理室no到所要的真空 度’並且在處理期間從處理室110移除氣體種類。一自動壓力控制 器(APC)與一任意陷阱可被與真空泵浦連續使用。真空泵浦可包含 魯乾式粗抽泵浦。另外’真空栗'浦可包含一渦輪分子栗浦(TMP),其 泵率可上達每秒5000公升(以及更高)。在處理期間,處理氣體或輔 助處理氣體,或者是其任何組合,可以被導入處理室11〇,其室壓 可被APC作調整。舉例來說,室壓範圍可從約1 mTorr到約50 Torr, 並且在另外的範例中’室壓範圍可從約ITorr到約lOTorr。APC可 包含一螺形閥或一閘閥。陷牌可從處理室110收集副產物。 此外,處理系統裡面的任何元件可塗上陶質材料,如氧化 鋁或氧化纪。舉例來說,任何元件可塗上一下述之材料,該材料 選自於由 Al2〇3、Sc203、Sc2F3、YF3、La203、Υ203以及〇丫〇3所構 成的族群。 依然參照圖2 ’處理系統丨⑻可更包含一用以操作與控制處理 11 系統100之運作之控制系統180。控制系統18〇與處理室11〇、支 架120、溫度控制系統130、自由基產生系統⑴、氣體供應系統16〇 以及真空泵浦系統170相連接。 控制系統180包含一微處理器、一記憶體以及一數位J/Q埠,該 ^位I/O埠能生成足以溝通與啟動處理系統1〇〇之輸入之控制電 壓,而且監控器從處理系統100輸出 '又,控制系統18〇與處理室 11〇 ^支撐架120、溫度控制系統13〇、自由基產生系統115、氣體 供應系統160以及真空泵浦系統17〇相連接並交換訊息。儲存在記 憶體的程式被用來根據儲存的製程配方以控制處理系統1〇〇的上 述το件。控制系統180的一個處理系統之範例係為DEIX P^aSI〇N WORKSTAT· 610 ,可從制雜斯_公司 侍。控制系統180也可以被執行作為通用電腦、數位訊號處 然而控制系統18〇可被使用作為一通用電腦,其回應一執H 或更夕連續之包含在記憶體裡的一或更多指令之處理器,而執 ^於本$明處理步驟之微處理器裡之一或更多連續的一或更多的 指令。這樣的指令可以從其他電腦可讀取媒體,如硬碟或可 媒體驅動裝置’而被讀人控制!!記賴。在多處理安排中的一^ 更多處理n也可被錢作紐微處理器以執行—連續包含在 ,要記憶體裡的齡。在其他施實例裡,硬體連接電路系統 代替或結合倾齡。如此,實_即不會被限制於任^ 硬體電路和軟體的特定組合。 7 以 資 控制系統18G包含至少-電腦可讀取媒體或記憶體,制 记憶體y聽持根據本發明制㈣所設計的電腦程式指^,° 及包含資料結構、表、紀錄或是其他執行本發 取媒體的例子有:光碟機、硬ί 、 磁光碟、PR0MS(EPR0M、EEPROM、快閃EPR0M)、DRAM、 、SDRAM或者任何其他磁性;光碟機(如cd_r =他光學媒體,·打孔卡片、紙帶或是其他有_案的物理媒體. 載波(下述之)或任何其他電腦可從其中讀取的媒體。 、, 12 1355688 裝置,及的 S裝ί=的=取:=但非-於二 =卩或一部份(若程序是分散更的= 本發明的電腦碼裝置可係為任何可說明的或可 ,,包含但不舰於腳本、可說_財、㈣ l j J:a類^奴钱行喊。又,本發日_分 的’以能有更佳的特性、可靠度以及/或成本。 匕.'、、刀 “電腦可魏舰,’職糊奴_喊 ΐ能18()之處理11以執行°電腦可讀取媒體 ,及傳送性媒i。非揮發性媒=含:S生: 體ί動裝置之磁光碟。揮發性媒體包含動態記憶體二 、查if 形式的電腦可讀取媒體可包含實行-或更多 指;最器處,。舉例來說’ 絡送指令到控制器180。 U隱體’並且從電腦網 控制系統18G可^置於相對處理系統觸 ===置直:;處理系統⑽遠距= 路三者至少-者與二 接到顧客部(即裝置製造者等)的内部網路"·連接° = 設備,等)的内部網絡。此外,另-電腦(=到== 經由直接連接、内部網路或者網際網路三 13 ί 5 > 1355688 傳基⑽距生成,並且 於貼員害限制於最小,基板的損害可能起因 =ΐίϊί: 子。然而’遠距自由基產生器的使用會 現如^由或造成基板的不均勻處理。本發明已發 勻分布,ίίίί ίϊ幾何形狀的設計特徵可能影響自由基的均 wθ 土板的處理率的自由基重組率也可能影響自由基的
二般狀況下,基板表面自由基通暢流動降低了重組率 但提供了不均勻的處理。相反的,提供障礙給氣 ^(如一 /刀配板)可以改善均勻度,但會降低處理率。因此,本發明 之實施例包含不同的自由基傳送祕,以控制基板處理的均句, 以及/或基板處理率。 參照圖3,描述根據其他實施例之處理系統2〇〇。舉例來說, 處理系統200她糊2的實補,其巾囉的參贿字代表相同 或相似的元件。處理系統2〇〇包含一自由基傳送系統24〇,自由基 傳送系統240包含一經過輸送管242連接到自由基產生系統丨丨5出 口的氣體分佈空間244。氣體分佈空間244散佈自由基,而該自由 基係從處理區245裡之輸送管242經過形成於氣體分配板246之複 數之開口被接收。氣體分佈空間244包含一圓柱體。 氣體分配板246可以設計為具有從約1到約1〇〇〇之數量範圍之 複數之開口’而且所要的開口數量範圍從約10到約1〇〇個。此外, 舉例來說’氣體分配板246可以設計為具有複數之開口,每一個開 口的直徑範圍可從約1mm到約100mm,並且所要的直徑範圍從約 4mm到約10mm。又,例如氣體分配板246可以設計為具有複數之 開口,每一個開口之長度範圍從約1mm到約loomm,並且所要的 範圍從約2mm到約20mm。 在一實施例中,一或更多的開口均勻的分佈在氣體分配板246 上。另外’在其他實施例中’一或更多的開口的分佈是不均勻的。 舉例來說,氣體分配板246之周圍區域裡的開口會多於氣體分配板 14 1355688 246之中心區域裡的開口。 氣體分配板246可以金屬來製造,如鋁、電鍍鋁或者陶質材 料。舉例來說,氣體分配板246可以石英、矽、氮化矽、碳化石夕、 氧化鋁、氮化铭等等來製造。此外,氣體分配板246可被陶質材料 覆蓋,如氧化銘或氧化釔。舉例來說,氣體分配板246可以被以一 材料覆蓋,該材料選自於由Al2〇3、Sc2〇3、Sc2F3、YF3、:[^〇3、 Y2〇3以及Dy03所組成的族群。 參照圖4 ’係描述根據其他實施例之處理系統3〇〇。舉例來說, 處理系統300相似於圖2的實施例,其中同樣的參照數字代表相同 或相似的元件。處理系統300包含一自由基傳送系統34〇,自由基 傳送系統340包含一連接到自由基產生系統115出口的氣體擴散^ 344。氣體擴散器344散佈自由基,該自由基係從處理區345中的自 ,,產生器115經過形成於氣體分配板346之複數之開口被接收, 該氣體分配板346連接到氣體擴散器344出口。舉例來說,氣體擴 ^器344包含一圓錐體。此外,舉例來說,如圖4所示,氣體擴散 器344可以包含連接到第二進入區343之第一進入區342。第一進入 區342,第二進入區343可為例如實質上圓錐狀,其中第一進入區 342的半角小於第一進入區343的半角。舉例來說,第—進入區 的半角可小於或等於約45度。另外,例如第一進入區342的半角可 於或等於約2G度。再另外,例如第-進人區342的半角可小於或 等於約I5度。糊來說,氣體分喊可她於圖3的實施例。 ,照圖5 ’雜触據其他實補之處理。舉例來說, 目Λ於圖2的實施例,其中同樣的參照數字代表相同 ^二二η/人处理系統4〇0包含一自*基傳送系統440,自由基 444播ί 接到自由基產生系統115出口的氣體擴散器 收之白ώ其。與/丨a散佈從處理區445中的自由基產生器115所接 t 來說,氣體擴散器444包含一圓錐體。此外,如 I區44m^444=包含連接到第二進入_之第-進 ^442與第二進入區443可為例如實質上圓錐 ί 8 > 15 狀,其中第一進入區442的半角小於第二進入區443的半角。舉例 來說,第一進入區442的半角可小於或等於約45度。另外,例如第 一進入區442的半角可小於或等於約2〇度。再另外,例如第一 區442的半角可小域等於約15度。 i 參照圖6A與6B,係描述根據其他實施例之處理系統5〇〇。舉 例來說,處理系統500相似於圖2的實施例,其中同樣的參照數字 代表相同或相似的元件。處理系統5〇〇包含一自由基傳送系二统 =0,自由基傳送系、统54〇包含一連接到自由基產生系統出出口的 ^體擴散器544。氣體擴散器544散佈從處理區545中的自由基產生 器115所接收之自由基。舉例來說,氣體擴散器544包含一圓錐體。 外,舉例來說,如圖6A所示,氣體擴散器544可以包含連接到第 之第一進入區542。第一進入區542與第二進入區543 圓錐狀,其中第一進入區542的半角小於第二進入 二产另列t說’第一進入區542的半角可小於或等於約 再入區542的半角可小於或等於約20度。 H 第—進人區542的半角可#或等於約15度。 -進^擴散板546設置在介於氣體擴散器544之第 °°出口’、第二進入區543入口之間的位置。另外,於散 2Γ包6==54二之第二進入區543出口的位置。擴‘ 射在議矣=中心體548 ϋ更多支射所支撐547(兩支 道在圖6ΒΑ - I、i留下-或更多自由基會流過的通道549(兩通 k在圖6B表不出)。中心體5牝可能為圓形、矩 被用以擴散氣體的軸向動量該氣體的站 二 y 八 李统體的畅動量係從自由基產生 546的下Ϊ ^。另外,如圖4所示,氣體分配板用於擴散板 紹或氧政。舉例3 蓋以陶質材剩 "擴散板546可被以一材料覆蓋,其材料選 ΐ散以金屬來製造,如紹、電鍍叙或者陶質材料。舉 如氧化 16 1355688 、Y2〇3以及Dy〇3所組成 自於由Al2〇3、Sc2〇3、Sc2F3、YF3、La203 的族群。
參照圖7 ’係描述根據其他實施例之處理系統6〇〇。舉例來今, 系統600她於圖2的實補,其中同樣的參賊字代表相兑同 ^目似的元件。處理系顺)〇包含一自由基傳I系統_,自由基 ,〜统64。包含一連接到自由基產生系統出出σ的氣體擴散器 644。軋體擴散器644散佈從處理區645中的自由基產生器所接 收之自由基。舉例來說,氣體擴散器644包含一圓錐體f此外,如 圖7所示,氣體擴散器644可以包含連接到第二進入區643之第一進 ^區642。第一進入區642與第二進入區643可為例如實質上 ,,其中第一進入區642的半角小於第二進入區643的半角。舉例 來說,第一進入區642的半角可小於或等於約45度。另外的例子, 第-進入區642的半角可小於或等於約2〇度。再另外的例子 一 進入區642的半角可小於或等於約15度。 如圖7所示,擴散板646設在介於氣體擴散器644之第一進入區 ^出^15第二進入區643入口之間的位置。另外’擴散板646設於 „1§644之第二進人區643出σ的位置。舉例來說,擴散板 46可設計為相似於如圖6八與6]5所示之擴散板546,然而卻更包含 一由兩或更多支撐臂所支撐的圓錐狀中心體647,且留下一或更多 自由基會流經的通道。圓錐狀擴散器本體647可能為圓形、矩形或 任何形狀,肋擴散氣體的軸向動量,該氣_軸向動量係從自 由基產生系統115之輸itj發出。域4所示,可隨意地將氣體分配 板用於擴散板646的下游。 參照圖8A及8B,係描述根據其他實施例之處理系統7〇〇。舉 =來說’處理系統700相似於圖2的實施例,其中同樣的參照數字 代表相同或相似的元件。處理系統包含一自由基傳送系統 自由基舰系綠74〇包含一連接到自由基產生系統⑴出口的 ^體擴散器744。氣體擴散器744散佈從處理區745中的自由基產生 器U5所接收之自由基。舉例來說,氣體擴散器744包含一圓錐體。 17 1355688 此外,舉例來說,如圖8A所示,氣體擴散器744可以包含連接到第 二進入區743之第一進入區742。第一進入區742與第二進入區743 可為例如實質上圓錐狀,其中第一進入區742的半角小於第二進入 區743的半角。舉例來說,第一進入區742的半角可小於或等於約 45度。另外的例子’第一進入區742的半角可小於或等於約加度。 再另外的例子’第一進入區742的半角可小於或等於約μ度。 〇如圖8A及8B所示,擴散板746設於氣體擴散器744之第二進入 ^743出口的位置。舉例來說,擴散板746.可設計為相似於如圖7所 示之擴散板646。擴散板746包含被一或更多支撐臂所支撐的圓錐 狀中心體747 ’且留下自由基會流過的一或更多通道。另外,擴散 板746包含一氣相散佈板,用以支持圓錐狀中心體747,其中複數 之開口 749穿過擴散板746之周圍區域而形成於圓錐狀中心體747 的底部^氣體擴散器744之第二進人區743内壁之間。圓錐狀中心 體^47可能為圓形、矩形或任何形狀,用以擴散氣體的軸向動量, 該氣體的軸向動量係從自由基產生緒115之輸出發出。 再參照圖8A及8B,處理系統700更包含一支撐架邊緣環75〇, 以及/或一氣體擴散板邊緣環752,或者兩者都包含,以阻礙處理氣 體f基板125之關邊緣外到真统17G之流動。支禮架邊 .緣環750或擴散板邊緣環乃2,或者兩者,用以減少經過任何基板 125周圍邊緣之流經空間,從約1〇%到約8〇%, =5收。如此可提供更均勻散佈的自由基越細^/且;^ 改σ基板的處理率。 制、dL支樓架邊緣環乃0或擴散板邊緣環乃2或者兩者均可由金屬來 如,戈電鍛|呂或者陶質材料。舉例來說,每一個環由石 ,、矽、氮化矽、碳化矽、氧化鋁、氮化鋁等等來製造。 母-巧環可_質材料塗覆,如氧化域氧尬。舉 -個裱可由選自於由Al2〇3、Sc2〇3、秘3、呢、[咏、^ 〇以 及Dy〇3所構成的族群之材料來塗覆。 2 3 圖12係表示根據一實施例從一基板移除殘留物之方法之流程 18 1355688 圖。流程圖1000開始於1010 ’在處理室裡的支樓架上處理 殘留物的基板《該支撐架可為任何圖9到圖u之構造的支严 且處理室可包含圖2_8所描述的任何—處理室,或者其牙任' = 合。該殘留物可包含來自餘刻過程之殘留物。 ^ 在1020中,將處理氣體導入連接到處理室的自由基產生 在-實施例中,處理氣體包含Nx〇y,其中係為大於丨° 的整數。處理氣體可包含一或更#N〇、乂〇或1^〇2 ,或是上之 二或更多的組合。處理氣體較好的是包含n2〇,這樣被認為 ^這些暴露於其中之歧自由基生成纽時,可提供優良的處理 率:NxOy氣體可與如下討論之&以及/或〇2一起使用,或者不與如 下討論之>12以及/或〇2-起使用。另外,處理氣體更包含 、 體,如〇2、CO或C〇2或是上述二或更多的組合。本發明已知= 畲-含氧氣體由於對基板(尤其好狐操作)可紐成損害而$宜 t局部電漿,將-含氧氣體用於遠距自由基產生器能^進基板 =處1率而使損害最小。另外’處理氣體更包含—含氮氣體,如 一其上述之二或更多的組合。另,處理氣體更包含 一 3鹵素氣體,如CxFy,其中X與y係為大於丨或等於丨的整數。又 ^外,處理氣體更包含n2與〇2。又,處理氣體包含_、仏與〇2。 另外,處理氣體更包含-不活潑之氣體,如一惰性氣體。 舉例來說’-製程參數空間可包含-嶋彳約町⑽之室壓, 範賊細娜Slm’以及-支雜溫度範圍從約 中’處理氣體之自由基在原子產生室形成。自由基可 ^ >成電漿以及促使處理氣體的解離而形成。另外,可使用解 離處,氣體的其他技術,包含如紫外線㈣輻射的電磁(em)輻射。 釗考在!!40中’將自處理氣體形成的自由基由自由基產生室運送 。舉例來說’自由基可經過於圖2到8所描述的任-自由 土傳送糸統或其任何組合而運送。 在〇中基板暴路於自由基流,並且將殘留物移除◊在自 19 1355688 由基產生室中,可將基板暴露於自由基而不暴露於電漿。 雖然本發明僅有某種實施例於以上細節描述,熟習本技藝者 應知悉在不違反本發明新穎技術及優點的情況下,本實施例二許 正都是可能的達成的。因此類似的修正都包含於本發明的^ 20 1355688 【圖式簡單說明】 係為根據本發明另_實施例之處理系統之 據本發㈣-實關之處理系統之略圖。 圖係為根據本發明另一實施例之處理系統之。 據本發明另一實施例之處理系統之略圖。 圖。圖9呈現根據本發明之一實施例之基板支樓架上表面之^視 視圖圖财絲縣㈣μ-實關之基板讀架上表面之俯 視圖圖11呈現根據本發明之另""實施例之基板讀架上表面之俯 圖12呈現根據本發明之一實施例之移除基板殘留物之方法。 【元件符號之說明】 2圖案 3 遮罩 4薄膜 5、125基板 6特徵部 100、200、300、400、500、600、700 處理系統 110處理室 115自由基產生系統 120、120’、120” 支樓架 130溫度控制系統 135加熱元件
21 1355688 140、240、340、440、540、640、740 自由基傳送系統 145、245、345、445、545、645、745 處理區 160氣體供應系統 162氣體供應管 164氣體供應管 170真空泵浦系統 180控制系統 242輸送管 244氣體分佈空間 246、346氣體分配板 344、444、544、644、744 氣體擴散器 342、 442、542、642、742 第一進入區 343、 443、543、643、743 第二進入區 546、646、740 擴散板 547支撐臂 548中心體 549通道 647、747圓錐狀中心體 701溝槽 702第二排列之溝槽 749 開口 750支撐架邊緣環 752擴散板邊緣環 801放射狀溝槽 802環狀溝槽 901放射狀溝槽 22

Claims (1)

  1. 愤 5688 1. 、申請專利範圍: -種處理系統,包含 日沒換頁丨 100年1月12曰修正替換頁 96110399(無劃線) 一處理室,含有一處理區; 一遠距自由基產生系統,連接到該處理室且用以接受處理 氣體以及自該處理氣體產生自由基,並傳送該自由基到該處理 室中之該處理區的一基板上; 一支撐架,連接到該處理室,且用以支撐在該處理室的該 處理區裡的基板以及控制該基板的溫度,其中該支撐架包含一 或更多形成於該支撐架之一上表面中之溝槽,該一或更多溝槽 之至少其一延伸到該支撐架的一最外圍邊緣,且當該基板支撐 在該^撐架上時,該支撐架與該基板之間的氣流被限制在沿著 一平行於該支樓架面朝該基板之表面的平面流動;以及 一真空泵浦系統,連接到該處理室並且用以排空該處理室。 2. 如申請專利範圍第1項之處理系統,更包含: 一氣體分佈系統,連接到該自由基產生系統之一出口,並 用以在該基板上散佈該自由基。 3. 如申請專利範U第1項之處理系統,其中該支撐架包含一或更多 加熱兀件、或者一或更多冷卻元件、或其組合,用以控制該基 板的該溫度。 4=申凊專利範圍帛!項之處理系、統,其中該支撐架由具有塗層於 其上的鋁所形成》 . ^1ΐί利範圍第4項之處理參統,其中該塗層係為一陽極層。 ^申^利顧第4項之處理系統,其中該塗層包含至少 族兀素。 利圍第4項之處理系統,其中該塗層包含至少一成 二 於由 Ai2〇3、sca、秘、奸3、La2〇3、γ2〇3 以及Dy〇3所構成的族群。 利^圍$ 1項之處理系統,其中該支樓架包含-具有-或更多電阻式㈣元叙域㈣雜。 23 7355688 7上月』修正雜頁j 100年1月12日修正替換頁 利翻第1項之 ,更夕熱電裝置之加熱控制元件。 =申請專利範圍第Η)項之處理系統,其中該塗層係為一陽極 層。 12树請f利範圍第1_之處理系統,其中該塗層包含至少-第 二族元素。 13^申,專利範圍第10項之處理系統,其中該塗層包含至少一成 二 /、選自於由 ai2o3、sC2〇3、Sc2f w L Dy〇3所構成的族群。 2 3久 i4.如^專利範圍第2項之處理系統,其中該氣體分佈系統包含: 氣體分配板,用以經由複數之開口而將該自由基散佈 處理室中;以及 I氣室,,接到該自由基產生系統之該出口,並且用以從該 基產生系統接收該自由基以及供應該自由基至該氣體分配 板中之該複數之開口。 15·ί;!ί專利範圍第14項之處理系統’其中該氣體分配板係由具 有塗層於其上的鋁所形成β 16. ί申請專利範圍第15項之處理系統,其中該塗層係為一陽極 屬。 , 17. t申請專利範圍第丨5項之處理系統,其中該塗層包含至少一第 三族元素。 18=申請專利範圍第15項之處理系統’其中該塗層包含至少一成 =,其選自於由 Al2〇3、Sc203、Sc2F3、YF3、La203、γ203以及 Dy〇3所構成的族群。 19.如申請專利範圍第丨項之處理系統,更包含: 二處理氣體供應系統,連接到該自由基產生純,並且用以 ί、應譚處理氣體到該自由基產生系統。 24 1355688 100年1月12日修正替換頁 96110399(無·劃線)、 2〇·如·申請專利範圍第统,』中^處理氣體供_^^~ 用以供應一或更多的(V.NO'NOrNACO、%、^^ NF3或CF4或是其兩或更多的任何組合。 21.如申請專利範圍第2〇項之處理系統,更包含: 一邊緣環,連接到該處理室且用以環繞該處理區,以阻止該自 由基流出該基板之周圍邊緣。 22_如申請專利範圍第^項之處理系統,其中該邊緣環包含 架邊緣環,連接到該支撐架之一周圍邊緣。 牙 23.如申請專利範圍第2項之處理系統,更包含: 一邊緣環’連接_處理室並朋以環繞該處观,以阻止該 自由基流出該基板之—周圍邊緣,其中該邊緣 / 該氣體分佈系統之-周圍邊緣之邊緣環。^ 3連接到 24·如1項之處理系統,其中該一或更多溝槽包含: 第一溝槽陣列,在第一方向上延伸;以及 伸。第二溝槽陣列’在實質上垂直該第一方向之第二方向上延 25.如申請專利範圍第i項之處理系统,其 含-實質上放射狀溝機第―_。 双夕的溝槽包 26=申,專利範圍第25項之處理系統,其中該一或 S二了質上環狀溝槽的第二陣列’且實f上垂直該第—組/二 27.如申請專利細第丨項之處縣統,其 ^曰。 降銷系統。 叉縣更包含—升 28·—種處理系統,包含: 一處理室’包令—處理區; 教⑽遠= 由基產,’連接到該處理室且用以接受處理 室中之該處理區的一基板上; 由基到該處理 支持裝置,位於該處理室中,用以以 淋 之方式支持基板,其中該用以支持基板的支 25 1355688 100年1月12曰修正替換頁 96110399(無劃線)、 多形成於該用以支持基板的支持裝置之一上Ϊ面中之溝^'7~5~ 一或更多溝槽之至少其一延伸到該用以支持基板的支持裝置^ 一最外圍邊緣,且當該基板支撐在該用以支持基板的支持裝置 ^二該肋支縣板的捕裝置與該基板之間魏流被限制 於制以支持基板的支持裝置面朝該基板之表面 加熱裝置,用以加熱該基板;以及 一真空_系統’連接_處理室並且用以排空該處理室。
    26
TW096110399A 2006-03-28 2007-03-26 Post-etch treatment system for removing residue on TWI355688B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/390,199 US8057633B2 (en) 2006-03-28 2006-03-28 Post-etch treatment system for removing residue on a substrate

Publications (2)

Publication Number Publication Date
TW200746289A TW200746289A (en) 2007-12-16
TWI355688B true TWI355688B (en) 2012-01-01

Family

ID=38573893

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096110399A TWI355688B (en) 2006-03-28 2007-03-26 Post-etch treatment system for removing residue on

Country Status (6)

Country Link
US (1) US8057633B2 (zh)
JP (1) JP5148592B2 (zh)
KR (1) KR101313426B1 (zh)
CN (1) CN101410941B (zh)
TW (1) TWI355688B (zh)
WO (1) WO2007126468A1 (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US20120024314A1 (en) * 2010-07-27 2012-02-02 Axcelis Technologies, Inc. Plasma mediated ashing processes
US20110226280A1 (en) * 2008-11-21 2011-09-22 Axcelis Technologies, Inc. Plasma mediated ashing processes
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
CN102201336B (zh) * 2010-03-26 2013-03-06 中芯国际集成电路制造(上海)有限公司 半导体器件层上的氧化层刻蚀后残留物的去除方法
US8721835B2 (en) * 2010-03-29 2014-05-13 Koolerheadz Gas injection device with uniform gas velocity
US20120211029A1 (en) * 2011-02-22 2012-08-23 Pandit Viraj S Load lock assembly and method for particle reduction
US8999610B2 (en) * 2012-12-31 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography mask repairing process
US9726940B2 (en) * 2013-12-26 2017-08-08 Sharp Kabushiki Kaisha Active matrix substrate manufacturing method, display apparatus manufacturing method, and display apparatus
US10192717B2 (en) * 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US10790181B2 (en) * 2015-08-14 2020-09-29 M Cubed Technologies, Inc. Wafer chuck featuring reduced friction support surface
KR102641441B1 (ko) 2016-09-28 2024-02-29 삼성전자주식회사 링 어셈블리 및 이를 포함하는 척 어셈블리
KR20180080520A (ko) 2017-01-04 2018-07-12 삼성전자주식회사 포커스 링 및 이를 포함하는 플라즈마 처리 장치
US10766057B2 (en) 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
US11094511B2 (en) * 2018-11-13 2021-08-17 Applied Materials, Inc. Processing chamber with substrate edge enhancement processing
CN110502049B (zh) * 2019-08-30 2021-05-07 北京北方华创微电子装备有限公司 卡盘温度控制方法、卡盘温度控制系统及半导体设备
KR20210047808A (ko) * 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20220148735A (ko) * 2021-04-29 2022-11-07 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템 및 반응기 시스템을 세정하기 위한 방법

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58170536A (ja) * 1982-03-31 1983-10-07 Fujitsu Ltd プラズマ処理方法及びその装置
JPH04236425A (ja) * 1991-01-21 1992-08-25 Toshiba Corp プラズマ処理装置
JPH05184977A (ja) * 1992-01-09 1993-07-27 Toshiba Corp シャワーノズル
JPH0824117B2 (ja) * 1992-10-27 1996-03-06 東京エレクトロン株式会社 プラズマ処理方法
JPH07130828A (ja) * 1993-10-28 1995-05-19 Sony Corp 半導体製造装置
KR100430643B1 (ko) * 1994-01-31 2004-05-12 어플라이드 머티어리얼스, 인코포레이티드 두께가 균일한 절연체 막을 갖는 정전기 척
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
JP3121524B2 (ja) * 1995-06-07 2001-01-09 東京エレクトロン株式会社 エッチング装置
US5708556A (en) * 1995-07-10 1998-01-13 Watkins Johnson Company Electrostatic chuck assembly
JP3434947B2 (ja) * 1995-11-02 2003-08-11 株式会社アルバック シャワープレート
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
JPH1056054A (ja) * 1996-08-09 1998-02-24 Fujitsu Ltd ヒータ付き基板載置台、成膜装置及びエッチング装置
US6529362B2 (en) * 1997-03-06 2003-03-04 Applied Materials Inc. Monocrystalline ceramic electrostatic chuck
US6063202A (en) * 1997-09-26 2000-05-16 Novellus Systems, Inc. Apparatus for backside and edge exclusion of polymer film during chemical vapor deposition
US6073576A (en) * 1997-11-25 2000-06-13 Cvc Products, Inc. Substrate edge seal and clamp for low-pressure processing equipment
US5880924A (en) * 1997-12-01 1999-03-09 Applied Materials, Inc. Electrostatic chuck capable of rapidly dechucking a substrate
KR100249391B1 (ko) * 1997-12-30 2000-03-15 김영환 가열장치
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
JP2001237222A (ja) * 2000-02-22 2001-08-31 Shibaura Mechatronics Corp 真空処理装置
JP4697833B2 (ja) * 2000-06-14 2011-06-08 キヤノンアネルバ株式会社 静電吸着機構及び表面処理装置
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
JP2002305180A (ja) * 2001-04-06 2002-10-18 Seiko Epson Corp プラズマ処理装置
JP2003197615A (ja) * 2001-12-26 2003-07-11 Tokyo Electron Ltd プラズマ処理装置およびそのクリーニング方法
JP2003318155A (ja) * 2002-04-12 2003-11-07 Applied Materials Inc ガス導入装置及びその生産方法、並びに、アッシング装置及びその運転方法
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP4607865B2 (ja) * 2003-03-28 2011-01-05 東京エレクトロン株式会社 基板の温度コントロールのための方法とシステム
US6843711B1 (en) * 2003-12-11 2005-01-18 Rohm And Haas Electronic Materials Cmp Holdings, Inc Chemical mechanical polishing pad having a process-dependent groove configuration
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7759249B2 (en) * 2006-03-28 2010-07-20 Tokyo Electron Limited Method of removing residue from a substrate

Also Published As

Publication number Publication date
JP2009531858A (ja) 2009-09-03
CN101410941B (zh) 2012-01-11
KR101313426B1 (ko) 2013-10-02
US8057633B2 (en) 2011-11-15
CN101410941A (zh) 2009-04-15
JP5148592B2 (ja) 2013-02-20
WO2007126468A1 (en) 2007-11-08
US20070235138A1 (en) 2007-10-11
TW200746289A (en) 2007-12-16
KR20080109888A (ko) 2008-12-17

Similar Documents

Publication Publication Date Title
TWI355688B (en) Post-etch treatment system for removing residue on
JP5305316B2 (ja) エッチング後の処理システムのためのガス分配システム
JP5419711B2 (ja) 処理システム用の多領域気体供給システム
TWI375991B (en) Method for multi-layer resist plasma etch
JP4943912B2 (ja) 基板から残渣を除去する方法
TWI326113B (en) A method of forming a silicon oxynitride film with tensile stress
JP6033496B2 (ja) 垂直nand素子のための新規のマスク除去方法
KR101886742B1 (ko) 기판 처리 방법
TW550674B (en) Processing apparatus and a cleaning method
TW200425240A (en) Processing system and method for thermally treating a substrate
US10490399B2 (en) Systems and methodologies for vapor phase hydroxyl radical processing of substrates
TW200947550A (en) Line width roughness improvement with noble gas plasma
JP2022512802A (ja) ハードマスクを除去するための水蒸気ベースのフッ素含有プラズマ
TW201216362A (en) Etching method and apparatus
TW201214509A (en) Substrate processing method
KR102469451B1 (ko) 마이크로전자 공작물의 제조를 위해 실리콘 질화물층을 영역 선택 에칭하는 방법
US20140370708A1 (en) Photoresist treatment method by low bombardment plasma
TW200423227A (en) System and method of varying critical dimension (CD) of a resist pattern
JP2022161940A (ja) エッチング方法及びプラズマ処理装置
TW396438B (en) Method for the formation of an anti-reflection layer
JP2020177958A (ja) 基板処理方法及び基板処理装置
JP2004146453A (ja) 半導体装置を製造する方法及びエッチング装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees