TWI321162B - Low-temperature chemical vapor deposition of low-resistivity ruthenium layers - Google Patents

Low-temperature chemical vapor deposition of low-resistivity ruthenium layers Download PDF

Info

Publication number
TWI321162B
TWI321162B TW095110681A TW95110681A TWI321162B TW I321162 B TWI321162 B TW I321162B TW 095110681 A TW095110681 A TW 095110681A TW 95110681 A TW95110681 A TW 95110681A TW I321162 B TWI321162 B TW I321162B
Authority
TW
Taiwan
Prior art keywords
metal layer
substrate
precursor
gas
layer
Prior art date
Application number
TW095110681A
Other languages
English (en)
Other versions
TW200702476A (en
Inventor
Kenji Suzuki
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200702476A publication Critical patent/TW200702476A/zh
Application granted granted Critical
Publication of TWI321162B publication Critical patent/TWI321162B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

九、發明說明: 【發明所屬之技術領域】 本發明係關於半導體製造,更具體而言,係關於一種在基板 上形成低電阻係數釕層之低溫化學氣相沈積方法。 【交又參考之相關申請案】 本發明係與美國專利申請案編號10/996,145,名稱為 method for increasing deposition rates of metal IAYERS METAL-CARBOMYL precursors」之申請案 相關,特將其所有内容包含於此作為參考。 … 【先前技術】 雜Ϊ銅(fU)金屬引進多層金屬化結構以製造積體電路,需要使用 障層_層,以提升Cu層之畴及成長,並防止a擴 =進2電材料中。沈積於介電材料上之_層可包含耐火材” Ϊ提鉬_及组(Ta)等與Cu呈非反應性且不互融且
Itr電率之材料。目前將Cu金屬化及介電材料整合在-起 要可於基板溫度例如低於約3GG°C下施行之阻障 呈。當吾人整合具有溫度敏感材料層之阻障層/ ^層U时電係數(low_k)材料時,甚至需使用更低之基板 /皿又例如基板溫度介於約至約〇c。 - 例如’用於技術節點小於或等於約⑽賺之⑶整人 將T:或列二 0;::ΪΙΐ^Ι::? 日日種層上一般而δ,吾人因附性 過去已有極大的努力注人於薄過渡金屬層如Cu之擴散阻障 、些研究包含諸如絡、叙、鉬及鎢等在Cu中呈 預期另外最近’其他材料諸如釕㈣及錄㈣由於其 L ii目rf知之耐火材料,故已被認定為可能之阻障 可容^單一二2=TaN之兩層結構,釘㈣或铑_之使用 先外°,夕平I的使用。例如’一Ru層可取代Ta/TaN阻障層。 在Ru阻产/曰I j現一如更可取代⑶之種晶層’因而可直接 在羽阻W日日種層沈積之後進行大塊Cu之沈積。 义t Rl\層可在熱化學氣相沈積(TCVD)處理中熱解含封之 刖物來加以形成’如絲釘前 前 ΐ之fu層的材料特性不佳’如具有高電阻率UUs 可導致不良之釘沈積速ΐϋίϊ it 2幾細前驅物 在及需高沈積溫度所苦,因而使釕層之沈積 ;,電阻率可為晶體位向之函數,故=需=程:態 包含所沈積讀金屬層之晶體位向。口人▲度, 【發明内容】 氣相、iHi 率釘金屬層之低溫化學 氣體;及錄錄露至纽氣财靖㈣理 低電阻率釘金屬層沈積至基板上,其;於暴匕將 在介於約100 〇C至約3⑻。C間的—溫度,將该基板維持 根據本翻之實施例,提供—種^體裝置。辭導體 S孔或溝渠或其組合之圖型化基板;及形成 阻率,釕金屬層具有約8·40微歐姆·公分之電 含用熱化學氣相沈積處理將基板暴露至包 对金屬魏脱縣板上沈積 °C間的一溫声。 月間’將基板維持在介於約100 °C至約300 【實施方式】 的,盤了解以及作為解釋性而非限制性之目 及多種:件Ϊ定細節,如沈積系統之特定幾合特徵 節的其他實施例中二施二^解··在脫雜處所述之具體細 係,,之參考號碼係用以指示相似之特徵部。圖1 ΐ ί基fi架2G,而_層係形成在該基板 物蒸發系統50 .驅物輸送系統40而連接於金屬前驅 兮亩ίΐ室1G更藉由輸送管36而連接至真找㈣㈣,1中 该真空泵抽系統38係用以排空處理室1〇、惫才 /、中 4〇及金屬前驅物蒸發系統%至適合在基板Μ上形成釘先 力適合在金屬前驅m魏对使錄釕前驅物52 ^的曰壓 仍參照圖1,金屬前驅物蒸發系統50係用以 在金屬前驅物蒸氣系統5G中於所選定之加熱條= 則驅物52可為固體。或者’幾基釕前驅物52可為液,&土釘 使用固體縣域_52,但胁賴纽 1321162 發明之範疇下,可使用在所選定之加熱條件下為液體之羰基釕前 驅物。例如,毅基釕前驅物52可為固體rU3(c〇)12。 為達到使固體羰基釕前驅物52蒸發之期望溫度(或使固體羰 ,釕前驅物52昇華),將金屬前驅物蒸發系統5〇連接至用以控制 蒸务/m度的蒸發溫度控制糸統54。例如,通常在習知系統中可將 羰基釕前驅物52的溫度升高至約40 °c及約45。(:之間',以昇華 Ri^CO)〗2。例如在此溫度下,RU3(c〇)i2之蒸氣壓範圍自約工至3 mTorr。當加熱羰基釕前驅物52而使其蒸發(或昇華)時,可使含 ^氣體流動越·基㈣驅物η'通過絲釕前驅物52 5氣體可包含CQ及i性氣體,如凡或稀 有乳體(即He、Ne、Ar、Kr、Xe),或其組合。 用以t ^,連接至金“驅物蒸統50,且 方,t將 '、載耽或其組合供應至絲釕前驅物52下 li或猎由馈、線62供應至羰基釘前驅物52上方。此外或在另- 之乳相前驅物輸送系統4〇,當獄基針前驅物5、^、隹 二 送系統4G之喊之後,藉㈣線63將 量控制器。例如,含CO氣體之涂旦rR或夕個過濾益、及質量流 準立方公分(s_)至約1_ s_ $ & G.1每分鐘標 lOsccm^^ SOOsccJ^f* \tC〇 ^^^5* 之流量範圍可介於約50 sccm至約遍 更或者’含CO氣體 實施例,該CO氣體之流量範圍可介於=之間。根據本發明之 之間。或者,CO氣體之流量範圍可介二.sccm至約麵_ 之間。 ;、'、勺 1 sccm 至約 1〇〇 seem 自金屬前驅物蒸發系統5〇下游,4人 理氣體流過氣相前驅物輸送系統4〇^炭基釘前驅物蒸氣之處 之蒸氣分配系統30而進入處理室10 藉由連接至處理室10 至為止。為了控糖氣管線之 8 1321162 溫度與防止幾基釕前驅物蒸氣分解及凝結 40可連接至蒸氣管線溫度控制系統42。 再參照圖1,連接至處理室1〇並形成其一部分之蒸氣 、:充30包含.蒸氣分配充氣至32,在蒸氣通過蒸氣分配板然以 進入基板25上之處理區33前,在此充氣室32中將菽氣分钕。另 外,蒸氣分配板34可連接至用以控制其溫度之分配^溫度&制系 %ν?/ι I ^3 ®
一 U 3叛悉刖他物条氣之處理氣體進入處理室之處王丨 區^3,吸附在基板表面之羰基釕前驅物蒸氣會由於基板乃之^方 尚而馬上熱解,而在基板25上形成金屬膜層。基板支架 藉由其連接至基板溫度控制系統22的優點,用以升高基才反25之 溫度。例如,基板溫度控制系統22可用以將基板25之溫产 j至約500 °C。此外,處理室1〇可連接至用以㈣ 體溫度控制.系統12。
氣相前驅物輪送系統 如上所述,例如習知之系統考慮:對於Ri^COh2而言,為了 防今通常發生於較高溫度下之分解,在溫度範圍約40 °C"至衫。C ,操作金屬前驅物蒸發系統50及氣相前驅物輸送系、统40。例如, Ru/CC^2可在升高之溫度下分解以形成副產物,如下所示者: Rus(C〇)I2 (ad) <^>Ru3(CO)x (ad) + (12-x) CO (g) (}) R^3(C〇)x (ad) Φ> 3Ru (s) +xCO (g) (2) 中這些副產物可吸附0勿(即凝結)至沈積系統丨之内部表面上。 這二表面上所累積之材料可在基板與基板間引發問題(如製程重覆 性)。或者’例如RU3(C0)l2可凝結於沈積系統之内部表面,即: Rus(C〇)12 (g) <^>rU3(c〇)12 (ad) (3) 之、’某些羰基釕之前驅物(如Ru3(C〇)12)之低蒸氣壓及狹 义呈固使沈積至基板25上之金屬層的沈積速率非常低。 σ ,發明人目前體認到··將CO氣體加入至羰基釕前驅物蒸氣 可減少上述輸送羰基釕前驅物至基板之限制問題。因此根據本發 9 1321162 丄將c〇氣體加入至絲釕前驅物蒸氣以減少幾基 釕刖驅物“在氣體管線中分解,因此將反應式⑴之平 咸中少^之前驅物輸送至處理室1G前在氣相前驅物ί送 本發0狀相信^c〇氣體加人·基舒之 刖驅,蒸鐵*中,使蒸發溫度增加自約4〇〇c至約1〇〇〇c, 。 增Γ 了碳基釕前驅物之蒸氣壓’因而使輸送至處理 i ii η區物增加’因此增加了在基板25上之金屬沈積速 觀察到:使々及⑺之混合氣體流動越 過或通過祕釕刖驅物,可減少絲釘前驅物之過早分解。 _ 施例,將co氣體加入至Ru3(co)i2前驅物 允泎σ人維持RU3(C0)12之蒸發溫度自約40 oc 或者,可將蒸發溫度維持在約6〇 QC至約9〇 QC。 ^ 氣體至祕釕之前驅物蒸氣可增加幾基釕前驅 氣财絲城㈣蒸氣對 11 权溫度下絲雜麟在基板25 i 者,可使縣板溫絲㈣金屬絲板25上的 ’以及在基板25上達職望之雜々前驅物的沈積速 自:前 增加,直到贿 積條學==制係指:_特定之沈 基板表面之化學反應動力學 率受限於化學反應物輸送至’在該1f中沈積速 默王滅錢之通4。f量傳輸限制制之 關# ίίΐ積速率與絲舒前驅物流量強相㈣’及與沈積、、声相 好的階梯覆蓋性及良好的金屬層保形性 二〜下在圖型化基板上之特徵部的側壁上,全屬μ#·、‘丄# 伤除以最厚的部份。通f「階梯 至顧最薄的π 金屬層厚度除以遠離特徵部之金屬層厚度。σ下·在側壁上之 j參照圖1,沈積系統i更可包含用以操作及控 1的控制系統80。控制系統係連接至 :^支芊 二驅物輸送系統4G、金·驅物蒸發系統:及氣= 圖2顯示在另一實施例中用以在基板上沈積金 積系統湖包含:處理室llG,具有用以 支架120,而金屬層係形成在該基板上。處理 驅物輸送系統105 ’該前驅物輸送系統ι〇5具有 用以储存及蒸發絲釕前驅物152之金屬前 基釕前驅物152之蒸氣輸送至處理請的=^ 輸送系統140。 處理室no包含:上部腔室lu、下部腔室112、排氣室⑴。 一開口 114係形成於下部腔室112中,自該開口處下部腔室112 與排氣室113相連接。 仍參照圖2’基板支架12〇提供一水平表面以支撐待處理之基 _或晶圓)125。可藉由自排氣室113之下部向上延伸之柱形支撐 構件122支撐^板支架120。此外’基板支架12〇包含連接至基板 支架溫度控制系統128之加熱器126。該加熱器126可例如包含一 或多個電阻式加熱元件。或者,該加熱器126可例如包含一或多 個輻射式加熱系統,如鎢画素燈。基板支架溫度控制系統128可 包含:用以提供電力至一或多個加熱元件之電源;用以量測基板 溫度、基板支架溫度或兩者之溫度的一或多個溫度感測器;用以 11 1321162 ί打二周整或控制基板125溫度或基板支架120溫度至少其 中一種之控制器。
裎中,經加熱之基板125可熱解獄基釕前驅物蒸氣 沈積在基板125之上。根據一實施例,該羰基釕 =胳@ + 為Ru3(C〇)12。熟知熱化學氣相沈積技藝者應知:在 a ^叙明之範疇下,可使用其他羰基釕前驅物。將基板支架 =力口至,合用以將期望之Ru金屬層或其他金屬層沈積至基板 ,2、預_疋溫度。此外,可將連接至腔室溫度控制系統121之加 未圖示)嵌於處理室11〇之壁中,以將腔室壁加熱至預定之溫 度。讜加熱丨器可將處理室110之壁溫維持在自約4〇〇c至約15〇〇c, 或自約40°C至、約8〇0C。壓力儀(未圖示)係用以量測處理室壓力。 根據本發明之-實麵,處理室之壓力可介於約lmTGrr至2〇〇 mTorr間。或者,處理室之壓力可介於約5 mT〇rr至約5〇 mT〇rr 間。 在圖2中亦顯示,蒸氣分配系統130係連接至處理室ho之 上部腔室+111 '蒸氣分配系統130包含用以自蒸氣分配充氣室132 將前驅物蒸氣藉由一或多個孔口 134通入至基板125上方之處理 區133的蒸氣分配板131。 此外,在上部腔室111設置開口丨35,用以將羰基釕前驅物蒸 氣自氣相前驅物輸送系統140通入蒸氣分配充氣室132。並且,言史 置溫度控制元件13 6(如用以使經冷卻或經加熱之液體流動之同軸 液體管道)用以控制蒸氣分配系統130之溫度,因而防止蒸氣分配 糸統130中之徵基釕前驅物分解或凝結。例如,可將一.液體如水 自蒸氣分配溫度控制系統138供應至液體管道。蒸氣分配溫度控 制系統138可包含:液體源;熱交換器;一或多個用以量測液體 溫度或蒸氣分配板溫度或兩者之溫度感測器;及用以將蒸氣分配 板131之溫度控制在自約20°C至約150 °C之控制器。' 如圖2所示,金屬前驅物蒸發系統150係用以支推幾基釘前 驅物152及藉由升高羰基釕前驅物之溫度而使羰基釕前驅& 152 12 1321162 蒸發(或昇華)。此處交替使用之「蒸發」及「昇華」與「蒸發」幾 個詞,通指自固體或液體前驅物形成蒸氣(氣體),不論該轉為例 如自固體至液體至氣體,固體至氣體,或自液體至氣體。設置前 驅物加熱H I54,❹鐘航前驅物〗52,轉縣觸驅物152 在可產生期望羰基釕前驅物152蒸氣壓之溫度。前驅物加埶器154 係連接至用以控制羰基釕前驅物152溫度之蒸發溫度控制系统 156。例如,前驅物加熱器154可用以調整羰基釕前驅物152之溫 度自約40°C至約150oC ’或自約60〇C至約90oC。
^當加熱羰基釕前驅物152以使其蒸發(或昇華)時,可使含c〇 氣體流動越過或穿過熱羰基釕前驅物152,或其任何組合。該含 C0氣體可包含C0及惰性氣體,如N2或稀有氣體(如二二 Ar、^Xe)。或者,其他實施例考慮省略惰性氣體。 可氣體加人至惰性氣體中。或者,其他實施 取代惰性氣體。例如,氣體供給系統⑽係連 ί =i統15G,且其用以例如使CQ氣體、惰性氣 動越或牙過幾基㈣驅物152。雖然圖2未圖示,但 亦可以/或者連接至氣相前驅物輸送系統⑽,一 之蒸氣進人氣相前驅物輸送系統⑽之時或 含.包含載氣、C0氣體或其混合氣體之氣體源 么1卜:f*?器166係設置用以量測來自金屬前驅物基發系统 5〇之〜軋體量。該感測器166 告'、蚀 用感測器166及質量流|㈣时】a m負,里机置控制為,使 Μ基釕前驅物蒸ί的量i 決疋輸运至處理室110之 旦.;置或者’感測态166可包含吸光咸測哭以 理室U〇之氣體流中的擬基釕前驅物濃度。…〇口 Ή67可位於自感測器166之下游,且其可將氣相前驅 13 1321162 物,送系統140連接至排氣線U6。旁通線167係設置用以排空氣 =前驅物輸送系統140,及用以穩定至處理室11〇之羰基釕前驅物 /、給。此外,旁通閥168位於自氣相前驅物輸送系統14〇之分支 的下游,係設置於旁通線167之上。 *仍參照圖2,氣相前驅物輸送系統14〇包含具有第一閥141 閥142 ?高傳導蒸氣線。此外,氣相前驅物輸送系統140 =δ藉由加熱器(未圖示)用以加熱氣相前驅物輸送系統14〇之蒸 巧展,控制祕⑷。可控職氣線之溫度賜止羰基釕前驅物 :巧在蒸氣、線中凝結。可將蒸氣線之溫度控制自約Μ。。至約 100 C,或自約40°C至約90°C。 此外’可自氣體供給系、统19〇供應⑺氣體。例如,氣體供 :f,19G係連接至氣相前驅物輸送系統14G,且用以例如將CO Ϊ⑷下游之氣相前驅物輸送系統14G中·基釕前 ,夕=乳犯δ。虱體供給系統190可包含:c〇氣體源19卜一或 夕個,制,192、-或多個過遽器194及質量流量控制器19 toT f量流錄^可自約Μ議(每分鐘立方公分)至 巧 iUUU seem 〇 替ΐ量流?控制器165及195,與閥162、192、168、及142 :由控制益、196來控制,該控制器控制供給、中止及載氣、CO =及幾基舒前驅物蒸氣之流量。感測器166亦連 器⑹且166之輸出而藉由質量流量控制 里,預期之艘基1了前驅物流量輸送至 如圖2所示,排氣線116將排氣室113連接至果抽系統118。 真空泵浦119係用以排空處理室至期望之直处 ^ ' 理期間自處理室m)移除氣態物種。自動壓: _119可包含-乾式(低真空以 14 119 1321162 處理氣體至處理室110中,藉著壓力控制器115來調整腔體壓力。 壓力控制器115可包含一蝴蝶閥或閘閥。收集器117可自處理室 no收集未反應之羰基釕前驅物材料及副產物。 參照回處理室110中之基板支架12〇,如圖2所示,其具有三 枚基板升降銷127(只有其中兩者有圖示)用以支撐、舉起和放下基 板125。該基板升降銷127係固定於碟123,並可下降1 至基板支^ 120上表面之下的位置。一驅動機構利用如氣红之裝置來升 P爹碟123。藉著自動傳送系統(未圖示)’基板125可經由間闕挪 和腔體進出通道202而傳送進、出處理室11(),並為基板升降銷 127所接收。·-旦基板125自傳送系統所接收,基板升降銷127 會下降而將基板降至基板支架120的上表面。 仍參照圖^ ’控制器180包含:微處理器、記憶體及數位輸入 輸出接口。该數位輸入輸出接口能夠產生控制電壓,此控制電壓 ^但足以溝通和活域理纽⑽之輸人減,軌 之輸出訊號。此外,處理系統控制器⑽可 1至1,包含了控制器196、蒸氣線溫度控制系統143及蒸氣 138,真工泵及糸統118及基板支架溫度控制系統128,並 吸系統118中’控制器⑽連接至用於 控處理至11G壓力的自動壓力控制器115,並和 儲存於記憶體中的程式可肋根據經 、j、制 。處理系統控制器18二=== p™«s;r;;^ dell 或全====== 或多個序二器=包= 義體 15 ιυζ 二二!L处理器,以執行包含於主記憶體中之指令序列。在另 =,硬線電路可取代軟體指令或與軟體指令結合使用。 例並不限於任何特定的硬體電路或軟體組合。 #,二二ί/80包含至少一電腦可讀媒體或記憶體如控制器記愫 f本發明之教示賴寫_式指令 媒#二‘:0^、5己錄或其他施行本發明所需之資料。電腦可讀 EEPROM、“、硬碟、軟碟、磁帶、磁光碟、PR〇MS (EPR0M、 妯祕Ή EPRQM)、DRAM、SRAM、SDRAM 或任何 1 纸册S二光碟(如CD_R〇M)或任何其他光學媒體、打孔卡片、、 其級她、紐(㈣)或任何 制或電腦可讀媒體組合上,本發明包含肋控制控 體更包人發展工具及應用軟體。此類電腦可讀婢 Siii r?電腦程式產品,該電腦程式產品用以施 包含但任執行程式碼機構, prog卿)、動態連结程τ⑽澤程式(_P_e 可執行程式。此外,可將明及完全 能、可靠度及/或成本。 刀放,以彳又彳于較佳效 此處所使用之「電腦可嘈據駚 控制器180之處理器以執行苹體電gif提供指令予 為任何形式,包括但不限於:非丄:掸2 :媒體,可以 =2來說,非揮發性媒體‘光學磁及i專輸 又,各種電腦可讀媒體可參與將—或如主記憶體。 送至控制器之處理器以執行之。例如,“ 16 1J21162 電腦之磁碟上。而遠端電腦可將用以入 載 網路或内部網路設置在沈積系統⑽ 近其可藉由網際 可使用ΐ接連結、内部網路或網際網路ΐ:至!器⑽ 統100父換資料。控制器18〇可連接至 1種來”沈積系 而且,另-台i月 部網路(即,機台製造者) 統100交換資料。控制器180可 ^一 之內都綑政,士:π、圭- ·.·丄.子安戶立而(即’裝置製造者) t ’另-台電腦(即,控制器、伺服器等)’可: 由直接連結、内部網路或網際網路中之至少一種來1 知本拮藝去廄汰咅.私u ^種來父換資料。 器180以藉 熟 100 »台製造: 制器180 , 知本技藝者應注意:控制琴180可蕤J里取交換資料< 交換資料。 苹⑷8〇 了藉由無線網路來與沈積系統 法,之—實施例之在基板上沈積釕金屬層之方
Lit it:在步驟3°2處’提供基板至沈積系統之處 loot丨積线可包含在圖1及2中所述之沈積系統!、 二板可為si基板。根據所形成之襄置類型,si基 杯\nr»n I V3型。例如,該基板可為任何尺寸,例如200 mm基 基板或甚至更大之基板。㈣本發日狀-實施例以及 圖6A-6D中所述’雜板可為包含m轉孔或溝渠或 j δ之圖型化基板。在步驟304中,形成包含幾基釘之前驅物 α氣及含CO氣體之處理氣體。該處理氣體更可包含一惰性載氣, 如Ar。根據本發明之一實施例,該羰基釕前驅物可為RU3(c〇)口。 氣體加入至羰基釕前驅物之蒸氣,可使羰基釕前驅物之 蒸氣溫度增加。經提升之溫度增加了羰基釕前驅物之蒸氣壓,而 使輸送至處理室之羰基釕前驅物增加’因此增加了基板上之釕金 屬之沈積速率。 根據本發明之一實施例,可藉由加熱羰基釕前驅物以形成羰 基釕前驅物蒸氣及使羰基釕前驅物蒸氣與含C0之氣體混合,來 形成處理氣體。根據本發明之一實施例,可將含CO氣體與自羰 基釕前驅物下游之羰基釕前驅物蒸氣混合。根據本發明之另一實 17 =么co氣體_越過或通過釕前驅物而使含 〇乳體與叛基釕則驅物蒸氣混合。 介於的衫了金屬層。根據本發明之—實施例,可在 板、、&可3QGG°C之基板溫度下沈積釕金屬層。或者,基 Γ至約25G°C間。根據本發明之—實施例, 約20 於約1G埃至約埃間。或者,厚度可介於 包含應注意:圖3之流程圖中之每一步驟或階段可 中僅舉=三2之f驟及/或操作。因此,雖然在302、304、306 — 一 u·成,旦應了解:本發明之此方法並不僅限於三步 3〇6 3〇2 ^ 304 ^ 層的電阻率,其使顯不為基板溫度之函數的沈前金屬 CO+Ar之恭々亡1包& 3(C〇)12、Ar及C〇之處理氣體’其中 至22卩。^@#+>/+〇〇€時約40微歐姆-公分,隨著溫度上升 至約150 V 率減少至約25微歐姆_公分,而隨著溫度下降 微歐姆八八之/矣率增加至約35微歐姆-公分。與電阻率為7] 時顯iiiliS:。:示之電阻率結果’意外地在約2,C 金屬膜層之電阻率。解:金屬層中之污染物通常會增加 所沈積之I。碳縣污染物量雜於在較高溫度下 該種、、W声下rn nb虽、/JI"度低於約220 °C時’本發明人懷疑:在 層/皿ί此增加了1自声=積之膜層完全去吸附故co包含於該膜
膜層之電阻率。此可藉由自Ru(ooi)基板使CO 熱去吸附之研究來加以支持··在極低之溫度下c〇氣體吸附至基 板士,而在介於約25 °C至約225 °C間之溫度下自基板去吸附。 換&之’若將Ru(OOl)基板維持在約220 °c之溫度,則少有戋無 CO會以吸附狀態停留在基板上。 —… 在圖型480中之釕金屬層的厚度約為1〇〇埃,沈積速率介於 :約6埃/分鐘至約9埃/分鐘之間,且RU3(c〇)i2前驅物之溫度為8〇 C。然而,本發明人相信:藉由使用高傳導氣相前驅物蒸送系統(見 圖1及2),可將沈積速率增加至約3〇埃/分鐘或更高。在圖型48〇 中’沈積條件更包含··處理室壓力15 mT〇rr、Ar流量5〇 sccm及 CO流量300 sccm。在此條件下處理室中之分壓為i3 mT〇订。 為比較’圖型490顯示為基板溫度之函數之沈積釕金屬層 率,但其使用包含RU3(C0)1jAr之處理氣體。 心3丄中,圖型48〇與490之比較顯示:藉由將基板溫度降 低至为300 C以下,可使圖型働中之釕金屬層的電阻率降低, 此現象與未使用CO氣體之圖型49〇中所觀察到的趨勢 常,要地,示於圖型彻中之結果顯示:可使用包含Ru3(c〇= 及co(。及惰性氣體Ar)之處理氣體而在一熱CVD處理中,在介於 約150 C與約3GG c間之基板溫度下沈積出低電阻率之釕金屬、 ί =,本發明人相信:可在低至約100°C之基板溫度下沈積 4中之意外結果暗示著:吾人能夠沈積釕金屬層^ 孤度敏感材料層並使兩者整合,如各式lQw_k材料4以下參 6將敘述’可使用釘金屬層作為銅金屬化應用之阻障層、晶 兩者。 a 圖5係根據本發明之—實施例卿成 用乂_量所沈積之釕金屬層的晶體位= ίίΐ ί ϋ之研究/轉’可將所有繞射線條分派給釕金屬層及 ί ^ 423度下所量_之勘強度相當於 Γ πΐ j Ξ ’而,.1度下所量測到之XRD強度相當於 RU(l〇l)Ba體位向。此外在圖5中所示,觀察到相#於 19 1321162
Ru(llO)、Ru(103)及Ru(201)晶體位向之XRD特徵。對於六方最密 堆積結構如Ru金屬而言,最穩定之熱力學位向為Ru(〇〇2)。 圖5中之圖型502相當於在基板溫度22〇 〇c下所沈積之低電 阻率釕金屬層’顯示具有以下特徵之XRD圖型:高強度相當於 Ru(101)但非吊低之強度相當於Ru(〇〇2)。.圖5中之圖型504、506、 508分別相當於在基板溫度320 °C、350 °C、380 °C下沈積之高電 阻率釕金屬層’其特徵為具有相似之如⑴犯)及Ru(1〇1)^度的 XRD圖型。在基板溫度為220 °C、320 0C ' 350 0C及380 0C下, Ru(002)/Ru(101)之比例分別為約〇、0.77、〇 83及〇 83。為了比較, 在基板溫度320 °C下使用Ar(但無c〇)來沈積高電阻 率釕金屬層,其亦如圖型504、506及508顯示出相似之Ru(002) 及Ru(101)強度。 以掃描式電子顯微鏡(SEM)來目檢使用包含Ru3(c〇)i2、c〇及 Ar氣體之處理氣體於基板溫度32〇 cc下所沈積之釕金屬層,發現 界面明顯且具有晶粒尺寸約5-l〇nm之晶粒。此外,在基板溫度 220 0C=所沈積之釕金属層的SEM並未顯示出界面明顯之晶粒。 為了比較’吾人以SEM來檢查使用包含㈣⑺^及&氣體之處 理氣體於基板溫度320。(:下所沈積之釕金屬層,發現界面明顯且 具有晶粒尺寸約20-40 nm之晶粒。 由於咼度結晶之薄擴散阻障層可提供擴散路徑,而使銅金屬 輕易地遷移通過擴散阻障層而達其下之介電質或基板,故一般認 為,鋼金屬化需要具有小晶粒尺寸之擴散阻障層。因此,根據本 發明之實施例將薄釕金屬層沈積至Si基板(2〇〇 mm晶圓),並將其 作為銅金屬化之擴散層而加以測試。在基板溫度15〇〇c及 下沈積厚度為2.5、5及1()nm的釕層。接著,藉由離子化物理氣 相沈積yiPVD)將銅沈積至釕金屬層上。接著,在環境中使基板 f 400 °C下回火3G分鐘。在回火之後糊測量基板之片電阻來評 估釕之阻障特性。片電阻量測顯示:所有在15代下沈積之釘層 具有良好的阻障特性,但,在22〇。〇下沈積之釕層卻只有厚度^ 20 1321162 5 nm及l〇 nm者顯示出良好的阻障特性,此與吾人在常用於銅金 屬化之參考阻障IPVD-TaN/Ta(5 nm/5 nm)上所觀察到的結果類似。 以上結果顯示:使用CO氣體且在低基板溫度下所沈積之釕 金屬層’具有低Ru(002)/Ru(101)比例並展現出良好之阻障特性。 此與吾人在使用或不使用CO氣體且在基板溫度高於約3〇〇 下 所沈積的釕金屬層上所觀察到的結果相反。 . 圖6A_6D係根據本發明之實施例之在圖型化基板上形成釘金 - 屬層之示意圖。熟知本技藝者應注意:可將本發明之實施例應用 至各式包含一或多個通孔或溝渠或其組合之圖型化結構及基板。 圖6A係根據本發明之一實施例概略性地顯示將釕金屬層44〇沈積 響至圖型化基板402上。圖型化基板402包含一第一金屬層41〇及 包含開口 430之圖型化層420。例如,圖型化層420可為介電材料。 例如’ 5亥開口 430可為一通孔或溝渠。結構402更包含沈積於圖 型化層420及開口 430内之第一金屬層410上的釕金屬層440。 圖6B概略性地顯示圖型化結構4〇4,其包含沈積至圖6八之 開口 430中的Cu層470。例如,可藉著將Cu電鍍處理而將Cu 層470電鍍於整個結構404上,接著以化學機械處理來進行平坦 化^自遠離開口 430之區域將Cu移除。根據圖6A及6B中所示 之實施例’釕金屬層440可作為後續Cu沈積至釕金屬層440上之 φ 阻障層及晶種層。 圖6C係根據本發明之另一實施例之將釕金屬層46〇沈積至圖 型化結構406上之示意圖。圖型化結構4〇6包含第一金屬層41〇 及包含開口 430之圖型化層420。將阻障層450沈積至圖型化結構 406上,並將釕金屬層46〇沈積至阻障層45〇上。例如,阻障層 450可包含一含鈕材料(例如,Ta、TaN或TaCN,或其兩者或更曰多 之組合),或含鎢材料(例如,w或。例如,該圖型化層42〇 可為介電材料。例如,該開口 430可為一通孔或溝渠。 在一例中,在150。(:之溫度下將釕金屬層460沈積至包含開 口 430之圖型化結構406上,該開口為約mo nm寬及約"ο nm 21 約齡。。阻障層彻包含爾 且Ar流量為50 _。 力為15 mWCO流量為300 _ 言歹〇1圖居6^7,略,,顯不將〇1層47〇沈積至開口 430中。例如, ΐ杏施;ί 以上圖6B之方式來沈積。根據圖6。及61)中 =例’釕金屬層46〇可作為後續Cu沈積至釕金屬層彻上之 者庳n:、=發明之數個實施例進行詳細說明,但熟知此技藝 祐ί此路實質上不背離本發明之新練示及優點之下,可實 明修改。因此’所有諸如此類之修改皆應包含於本發 圖式簡單說明】 =1係根據本發明之一實施例之沈積系統之示意圖。 圖2係根據本發明之另一實施例之沈積系統之示意圖。 法 。圖3係根據本發明之一實施例之於基板上沈積釕金屬層之方 圖4顯示根據本發明之一實施例之釕金屬層之電阻 基板溫度之函數。 異為 型圖5顯示根據本發明之實施例所形成之釕金屬層的XR〇圖 金屬】根縣發明之實施例齡於圖型化基板上形成対 【主要元件符號說明】 1 :沈積系統 10 處理室 12:室體溫度控制系統 20 :基板支架 22 1321162 22 :基板溫度控制系統 25 :基板 30 :蒸氣分配系統 32 :蒸氣分配充氣室 33 :處理區 34 :蒸氣分配板 35 :分配板溫度控制系統 36 :輸送管 38 :真空泵抽系統 40 :氣體前驅物輸送系統 • 42 :蒸氣線溫度控制系統 50 :金屬前驅物蒸發系統 52 :羰基釕前驅物 54 :蒸發溫度控制系統 60 :載氣供給系統 61 :饋線 62 :饋線 63 :饋線 80 :控制系統 φ 100:沈積系統 105 :前驅物輸送系統 110 :處理室 111 :上部腔室 112 :下部腔室 113 :排氣室 114 :開口 115 :自動壓力控制器 117 :收集器 116 :排氣線 23 1321162 118 :泵抽系統 119 :真空泵浦 120 :基板支架 121 :腔室溫度控制系統 122 :柱形支撐構件 123 :碟 ‘ 125 :基板 126 :加熱器 127 :基板升降銷 128 :基板支架溫度控制系統 籲129 :驅動機構 130 :蒸氣分配系統 131 ··蒸氣分配板 132 :蒸氣分配充氣室 133 :處理區 134 :孔口 135 :開口 136 :溫度控制元件 138 :蒸氣分配溫度控制系統 φ 140 :氣相前驅物輸送系統 141 :第一閥 142 :第二閥 143 :蒸氣線溫度控制系統 150 :金屬前驅物蒸發系統 152 :羰基釕前驅物 154:前驅物加熱器 156 :蒸發溫度控制系統 160 :氣體供給系統 161 :氣體源 24 162 :控制閥 164 ‘·過濾器 165 :質量流量控制器 166 :感測器 167 :旁通線 168 :旁通閥 180 :控制器 190 :氣體供給系統 191 :氣體源
192 :控制閥 194 :過濾器 195 :質量流量控制器 196 :控制器 200 閘閥 202 302 304 腔體進出通道 將基板提供至沈積系統之處理 一· •形成包含幾基釕前驅物蒸=中 306 ··將基板暴露至處理氣體中 ^ CQ氣體之處理氣體
ll汝籍柄番β日杳h人ra is 熱^匕學氣相沈積處理在I 上簡低電時纟了金I層,於4理_將基板溫度維持}
GC及約300°c之間 、 402 :圖型化基板 404 :圖型化結構 406 :圖型化結構 410 :第一金屬層 420 :圖型化層 430 :開口 440 :釕金屬層 450 :阻障層 460 :釕金屬層 25 1321162 470 : Cu 層 480 :圖型(使用CO氣體) 490 :圖型(使用Ar氣體) 502 : XRD 圖型 504 : XRD 圖型 506 : XRD 圖型 508 : XRD 圖型

Claims (1)

1321162 十、申請專利範圍: 1. 一種釕金屬層之低溫沈積方法,用以在基板上沈積釕金屬層, 包含下列步驟: —基板提供步驟,將一基板提供至一沈積系統之一處理室中; 一處理氣體形成步驟’形成包含Ru^CO)〗2前驅物蒸氣及一含 c〇氣體之一處理氣體; #基板暴露步驟,將該基板暴露至該處理氣體中,以由一熱 化予氣相沈積處理在該基板上沈積一低電阻率釕金屬層,豆 暴露期間將該基板維持在約削。C及約3(K)QC之間的溫度、。、
2. 如申請專利範圍第1 板暴露步驟包含: 將該基板維持在約 3. 如申請專利範圍第1 金屬層之該電阻率係介 間0 項之釕金屬層之低溫沈積方法,其中該基 180 °C及約250 °C之間的溫度。 項之釕金屬層之低溫沈積方法,其中該釕 於約8微歐姆-公分至約40微歐姆_公分之 4·如申請專利範圍第1 金屬層之該電阻率係 之針金屬層之低溫沈積方法,其中該釕 間。 I ;約20微歐姆-公分至約30微歐姆-公分之 5.如申請專利範圍第玉工 基板溫度施行轉露=之纟了金屬層之低溫沈積方法,其中在一 晶體位向。 ^,導致該舒金屬層具有佔優勢之Ru(l〇l) ^如申請專利範圍第 :步驟足以使該 二釕金屬層之低溫沈積方法 度。 屬層沈積至介於約10埃至約300埃間的-厚 27 1321162 7.如申請專利範圍第1項之釕金屬層之低溫沈積方法,其中該暴 露步驟足以使該釕金屬層沈積至介於約20埃至約50埃間的一厚 度0 8. 如申請專利範圍第1項之釕金屬層之低溫沈積方法,其中該處 理氣體形成步驟包含: 一加熱步驟,加熱Ru3(CO)12前驅物以形成Ri^CO)^前驅物 蒸氣;及 一混合步驟,使該含CO氣體與該Ru3(CO)12前驅物蒸氣混合。 9. 如申請專利範圍第8項之釕金屬層之低溫沈積方法,其中該加 熱步驟包含: 使該Ru3(CO)12前驅物維持在介於約40 °C及約150 °C之間的 溫度。 10. 如申請專利範圍第8項之釘金屬層之低溫沈積方法,其中該加 熱步驟包含: 使該Ru3(CO)12前驅物維持在介於約60 °C及約90 °C之間的 φ 溫度。 11. 如申請專利範圍第8項之釕金屬層之低溫沈積方法,其中混合 步驟包含: 使該含CO氣體與自該Ru3(CO)12前驅物下游之Ru3(CO)12前 驅物蒸氣混合。 12. 如申請專利範圍第8項之舒金屬層之低溫沈積方法,其中混合 步驟包含: 使該含CO氣體流動越過或通過該前驅物。 28 1321162 13. 如申請專利範圍第 含C0氣體的流量係八項之釕金屬層之低溫沈積方法,其中該 、)丨於約0.1 sccm至約1000 sccm之間。 14. 如申請專利範圍第 含CO氣體的流量係介^之釕金屬層之低溫沈積方法,其中該 、1於約10 seem至約300 seem之間。 15. 如申請專利範圍第1馆a A A ^ CO氣體包含C◦及^舒金屬層之低溫沈積方法, 1月性軋體。 其中該含 至約200 mTorr之間的壓力 mTorr :如二專二圍通第= 19 作= 舰’包含用崎—沈祕理11上執行之程 ϋ丨處理$執行該程式指令時,使該沈積祕施行如申 明專利犯圍帛1項之釕金屬層之低溫沈積方法中的該等步驟。 20· —種半導體裝置,包含: 一圖型化基板,包含一或多個通孔或溝渠,或其組合;及 一釕金屬層,形成於該基板上且具有一電阻率約8_4〇微歐姆_ 公分,其中該釕金屬層係藉由將該基板暴露至包含—R%(c〇)u 29 1321162 則驅物蒸氣及一含CO氣體之一處理氣體中,而以一熱化學氣相 沈,處理來將該釕金屬層沈積至該基板上所形成,其中於該基板 暴露期間將該基板維持在介於約100及約300 °C之間的一溫 度。 21^。申請專利範圍第2〇項之半導體裝置,其中該圖型化基板更 匕3形成於其上之一阻障層,而該釕金屬層係沈積至其上。
屬 H申範圍第21項之半導體裝置,其中該阻障層包含一 潛或一含鎢層,而該釕金屬層係沈積至其上。 其中該釕金屬層之晶 3’如申睛專利範圍第20項之丰導體步罟 體位向為_kRu(1(n^_ 麵置,㈣細層之厚 沈積Sr二第半t裝置,更包含: 多個通孔或溝』銅層’該釕金屬層係位於該一或 十 圖式
TW095110681A 2005-03-31 2006-03-28 Low-temperature chemical vapor deposition of low-resistivity ruthenium layers TWI321162B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/095,356 US7396766B2 (en) 2005-03-31 2005-03-31 Low-temperature chemical vapor deposition of low-resistivity ruthenium layers

Publications (2)

Publication Number Publication Date
TW200702476A TW200702476A (en) 2007-01-16
TWI321162B true TWI321162B (en) 2010-03-01

Family

ID=36698690

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095110681A TWI321162B (en) 2005-03-31 2006-03-28 Low-temperature chemical vapor deposition of low-resistivity ruthenium layers

Country Status (6)

Country Link
US (1) US7396766B2 (zh)
JP (1) JP4512159B2 (zh)
KR (2) KR20130129482A (zh)
CN (1) CN100593236C (zh)
TW (1) TWI321162B (zh)
WO (1) WO2006104853A1 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7294851B2 (en) * 2004-11-03 2007-11-13 Infineon Technologies Ag Dense seed layer and method of formation
US7270848B2 (en) 2004-11-23 2007-09-18 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7488512B2 (en) * 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7708835B2 (en) * 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US7484315B2 (en) * 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US7638002B2 (en) * 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US7651570B2 (en) * 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
US7345184B2 (en) * 2005-03-31 2008-03-18 Tokyo Electron Limited Method and system for refurbishing a metal carbonyl precursor
US8222746B2 (en) * 2006-03-03 2012-07-17 Intel Corporation Noble metal barrier layers
US20070207611A1 (en) * 2006-03-03 2007-09-06 Lavoie Adrien R Noble metal precursors for copper barrier and seed layer
US7557047B2 (en) * 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
US7846256B2 (en) * 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
US20080242088A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Method of forming low resistivity copper film structures
US7829454B2 (en) * 2007-09-11 2010-11-09 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
US7704879B2 (en) * 2007-09-27 2010-04-27 Tokyo Electron Limited Method of forming low-resistivity recessed features in copper metallization
US7884012B2 (en) * 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
JP2009105289A (ja) * 2007-10-24 2009-05-14 Tokyo Electron Ltd Cu配線の形成方法
US7776740B2 (en) * 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US8247030B2 (en) * 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US8679970B2 (en) * 2008-05-21 2014-03-25 International Business Machines Corporation Structure and process for conductive contact integration
US7964497B2 (en) 2008-06-27 2011-06-21 International Business Machines Corporation Structure to facilitate plating into high aspect ratio vias
US7799681B2 (en) 2008-07-15 2010-09-21 Tokyo Electron Limited Method for forming a ruthenium metal cap layer
KR101210210B1 (ko) * 2008-08-05 2012-12-07 도쿄엘렉트론가부시키가이샤 배치대 구조, 성막 장치 및 성막 방법
US7977235B2 (en) 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
US8716132B2 (en) 2009-02-13 2014-05-06 Tokyo Electron Limited Radiation-assisted selective deposition of metal-containing cap layers
JP2010189693A (ja) * 2009-02-17 2010-09-02 Tokyo Electron Ltd Cu膜の成膜方法および記憶媒体
KR20110081694A (ko) 2010-01-08 2011-07-14 삼성모바일디스플레이주식회사 박막 트랜지스터의 제조 방법 및 표시 장치의 제조 방법
US8399353B2 (en) * 2011-01-27 2013-03-19 Tokyo Electron Limited Methods of forming copper wiring and copper film, and film forming system
JP5862353B2 (ja) * 2011-08-05 2016-02-16 東京エレクトロン株式会社 半導体装置の製造方法
US20140134351A1 (en) * 2012-11-09 2014-05-15 Applied Materials, Inc. Method to deposit cvd ruthenium
US9528184B2 (en) * 2015-02-13 2016-12-27 Eastman Kodak Company Atomic-layer deposition method using compound gas jet
US9506147B2 (en) * 2015-02-13 2016-11-29 Eastman Kodak Company Atomic-layer deposition apparatus using compound gas jet
WO2018035120A1 (en) * 2016-08-16 2018-02-22 Tokyo Electron Limited Method of metal filling recessed features in a substrate
CN109148455A (zh) * 2017-06-16 2019-01-04 旺宏电子股份有限公司 存储器元件及其制造方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US644263A (en) * 1900-01-08 1900-02-27 Indianapolis Drop Forging Company Wrench.
US6319832B1 (en) 1999-02-19 2001-11-20 Micron Technology, Inc. Methods of making semiconductor devices
US6139922A (en) * 1999-05-18 2000-10-31 Gelest, Inc. Tantalum and tantalum-based films formed using fluorine-containing source precursors and methods of making the same
US6303809B1 (en) 1999-12-10 2001-10-16 Yun Chi Organometallic ruthenium and osmium source reagents for chemical vapor deposition
TW584902B (en) * 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
US6440495B1 (en) 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
JP2002285333A (ja) * 2001-03-26 2002-10-03 Hitachi Ltd 半導体装置の製造方法
KR100727372B1 (ko) 2001-09-12 2007-06-12 토소가부시키가이샤 루테늄착체, 그 제조방법 및 박막의 제조방법
KR100522762B1 (ko) * 2001-12-19 2005-10-24 주식회사 하이닉스반도체 금속막의 화학기상증착법
US6713373B1 (en) 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US6812143B2 (en) 2002-04-26 2004-11-02 International Business Machines Corporation Process of forming copper structures
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6737313B1 (en) * 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
US7107998B2 (en) 2003-10-16 2006-09-19 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
US20050110142A1 (en) 2003-11-26 2005-05-26 Lane Michael W. Diffusion barriers formed by low temperature deposition
US7285308B2 (en) 2004-02-23 2007-10-23 Advanced Technology Materials, Inc. Chemical vapor deposition of high conductivity, adherent thin films of ruthenium
US7270848B2 (en) 2004-11-23 2007-09-18 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7279421B2 (en) * 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors

Also Published As

Publication number Publication date
US20060220248A1 (en) 2006-10-05
WO2006104853A1 (en) 2006-10-05
JP2008538129A (ja) 2008-10-09
KR20070118151A (ko) 2007-12-13
JP4512159B2 (ja) 2010-07-28
KR20130129482A (ko) 2013-11-28
KR101351711B1 (ko) 2014-01-14
CN101164161A (zh) 2008-04-16
TW200702476A (en) 2007-01-16
US7396766B2 (en) 2008-07-08
CN100593236C (zh) 2010-03-03

Similar Documents

Publication Publication Date Title
TWI321162B (en) Low-temperature chemical vapor deposition of low-resistivity ruthenium layers
JP4980235B2 (ja) 金属カルボニル前駆体からの金属層の成膜速度を上げる方法
US7482269B2 (en) Method for controlling the step coverage of a ruthenium layer on a patterned substrate
JP4980234B2 (ja) 金属カルボニル前駆体から金属層を堆積する方法
TWI313910B (en) Method for forming a barrier/seed layer for copper metallization
US7459396B2 (en) Method for thin film deposition using multi-tray film precursor evaporation system
US7132128B2 (en) Method and system for depositing material on a substrate using a solid precursor
TWI251619B (en) Low-pressure deposition of metal layers from metal-carbonyl precursors
TWI360167B (en) Method for integrating a ruthenium layer with bulk
US7432195B2 (en) Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US20070069383A1 (en) Semiconductor device containing a ruthenium diffusion barrier and method of forming
JP2002543282A (ja) ハロゲン化タンタル前駆体からのTaN膜の熱CVD
US7566477B2 (en) Method for saturating a carrier gas with precursor vapor
TWI310967B (en) Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
TWI278906B (en) Method for preparing solid precursor tray for use in solid precursor evaporation system
JP2000331958A (ja) 半導体製造装置及びこの装置を利用したバリアメタル膜の形成方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees