TWI278906B - Method for preparing solid precursor tray for use in solid precursor evaporation system - Google Patents

Method for preparing solid precursor tray for use in solid precursor evaporation system Download PDF

Info

Publication number
TWI278906B
TWI278906B TW94143639A TW94143639A TWI278906B TW I278906 B TWI278906 B TW I278906B TW 94143639 A TW94143639 A TW 94143639A TW 94143639 A TW94143639 A TW 94143639A TW I278906 B TWI278906 B TW I278906B
Authority
TW
Taiwan
Prior art keywords
precursor
solid
solid precursor
preparing
tray
Prior art date
Application number
TW94143639A
Other languages
Chinese (zh)
Other versions
TW200625397A (en
Inventor
Kenji Suzuki
Emmanuel P Guidotti
Gerrit J Leusink
Masamichi Hara
Daisuke Kuroiwa
Original Assignee
Tokyo Electron Ltd
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/007,961 external-priority patent/US7488512B2/en
Application filed by Tokyo Electron Ltd, Ibm filed Critical Tokyo Electron Ltd
Publication of TW200625397A publication Critical patent/TW200625397A/en
Application granted granted Critical
Publication of TWI278906B publication Critical patent/TWI278906B/en

Links

Landscapes

  • Chemical Vapour Deposition (AREA)

Abstract

In a solid precursor evaporation system configured for use in a thin film deposition system, such as thermal chemical vapor deposition (TCVD), a method for preparing one or more trays of solid precursor is described. The solid precursor may be formed on a coating substrate, such as a tray, using one or more of dipping techniques, spin-on techniques, and sintering techniques.

Description

1278906 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種固態前驅物蒸發系統,更具體而言,係關 於一種供使用於固態前驅物蒸發系統之固態前驅物製備方法。 相關申請案之交叉來老 本申請案為同在審理中之美國專利申請案編號 10/998,420,代理人備忘錄編號TTCA-007,申請於2004年11月 29 日,專利名稱為「Multi-Tray Film Precursor Evaporation System And Thin Film Deposition System Incorporating Same」 之部分連續案。特將其全部内容包含於此作為參考。本申請案亦 關於美國專利申請案編號10/xxx,xxx,代理人備忘錄編號 TTCA-012,其發明名稱「a Repiaceabie precurs〇r Tray F〇r In A Multi-Tray Solid Precursor Delivery System」,且與其 於同一日申請,故其全部内容特包含於此作為參考。 【先前技術】 將銅(Cu)金屬引進多層金屬化結構 ^政進入介電材射。沈積於介電㈣上之 ,材料,諸如嶋、_◦)及组㈤等與&日呈 ,融且可提供低電畔之材料。目前將 ^ 板溫度下施行之阻障層/隔離層沈積約讀或更低之基 例如,用於技術節點小於或耸 用低介電(low-k)層間介電質、接病nm之Cu整合結構可使 著在1 一能力‘常 1278906 其防止Cu擴散進入iow〜k膜之能力)。 如上述,有極大的努力奉獻在薄過渡金屬層如Cu之擴散阻障 層的研究及施行,這些研究包含諸如鉻、鈕、鉬及鎢等金屬。這 些材料每一者在Cu中呈低互融性。另外最近,其他材料諸如釕(Ru) 及錢^Rh)由=其預期之行為相似於習知之耐火材料,故已被認定 為可能之阻障層。然而,相對於如Ta/TaN之兩層結構,釕(Ru)或 鍺(Rh)之使用可容許單一阻障層的使用,此一發現係由於這些材 料之附著及阻障性質。例如,一 Ru層可取代Ta/TaN阻障層。此 外’近來之研究發現一 Ru更可取代Cu之種晶層,因而可直接在1278906 IX. DESCRIPTION OF THE INVENTION: FIELD OF THE INVENTION The present invention relates to a solid precursor evaporation system and, more particularly, to a solid precursor preparation method for use in a solid precursor evaporation system. The application is the same as the U.S. Patent Application Serial No. 10/998,420, the agent memo number TTCA-007, filed on November 29, 2004, and the patent name is "Multi-Tray Film". Part of the continuous case of Precursor Evaporation System And Thin Film Deposition System Incorporating Same. The entire contents of this are hereby incorporated by reference. This application is also related to U.S. Patent Application Serial No. 10/xxx, xxx, and Agent Memorandum No. TTCA-012, entitled "a Repiaceabie precurs〇r Tray F〇r In A Multi-Tray Solid Precursor Delivery System", and Applications are made on the same day, so the entire contents of which are hereby incorporated by reference. [Prior Art] Copper (Cu) metal is introduced into a multilayer metallization structure. Deposited on dielectric (4), materials, such as 嶋, _ ◦) and group (5), etc. & At present, the barrier layer/isolation layer applied at the temperature of the plate is deposited as a read or lower base, for example, for a technology node that is smaller than or converges with a low-k interlayer dielectric and a Cu of a diseased nm. The integrated structure allows for the ability to prevent Cu from diffusing into the iow~k film at a capacity of 1278906. As mentioned above, great efforts have been made to contribute to the research and implementation of thin transition metal layers such as Cu diffusion barriers, which include metals such as chromium, knobs, molybdenum and tungsten. Each of these materials exhibits low interfaciality in Cu. In addition, other materials such as ruthenium (Ru) and money (Rh) have recently been identified as possible barrier layers by their expected behavior similar to conventional refractory materials. However, the use of ruthenium (Ru) or rhodium (Rh) allows for the use of a single barrier layer relative to a two-layer structure such as Ta/TaN, which is due to the adhesion and barrier properties of these materials. For example, a Ru layer can replace the Ta/TaN barrier layer. In addition, recent research has found that a Ru can replace the seed layer of Cu, so it can be directly

Ru沈積之後進行大塊Cu之填入,此一發現係由於⑶與Ru層間的 良好附著性。 照慣例,釕層可在熱化學氣相沈積(TCVD)中藉由熱解含釕前 I,物+如碳基釕前驅物來形成。當將基板溫度降低至低於約棚。c =’藉由熱解幾基舒前驅物(如Ru3(c〇)i2)沈積之⑻層的材料性質 θ惡化。因此混入熱沈積肋層之反應副產物的增加,會使得在低 的,率增加縣劣的表面形態性質(如結節 4〇n:r教經二⑨自錄釕前驅物在基板溫度低於約 c ,、、、%之去吸附速率的減少,可解釋上述之兩現象。 ,此基積其 沈積线為低沈積速率所苦而使得二 【發明内容】 本發明提供-種供使用於固態前鮮 製備方法。該方法包含··自固辦私士糸、,先之固悲刖驅物 體前勰铷:》脸>式形成固體旋劑形式之 體前驅物;及將固體前驅物放置到 一純’式之固 ,盤中。在-實施例中,係; 更在另一 在另一實施例中,係藉由衝壓處理製備劑形式。 7 1278906 實施例中,係藉由浸潰處理製備固體 中,係藉由旋轉式塗佈處理製備固體^形在另一實施例 【實施方式】 各種對李统组件之。妒& # /b積糸、、先之特殊幾何形狀以及 定細節ί實施之然而應了解:本發明可藉其他脫離此特 邻。^下’她之參钱碼制糾旨封目似之特徵 =尤積至基板上之沈㈣統卜該沈射統丨==)= J有用以支撐基板25之基板支座2G,而薄層係形成’ 係經由氣相前驅物輸送系統4G而連接於膜前^物蒸發 9直ίίΛ10更藉由輸送f 36而連接至真空泵抽系統38,i中 在膜中使力且適合 將膜ΐΓΠ1,膜亦ί物蒸發系統50係用以儲存膜前驅物,及 相二σ '、、、至足以瘵發膜前驅物及將氣相膜前驅物通入至氣 ί則=,i統40的溫度。如以下將配合圖3至圖6之詳細討 二包含固包含1體膜前驅物。此外’例如膜前驅物 ΐΐ 屬可包含:幾基舒(Ru3(C0)12)、或幾基銖.(cow。 $卜’例如此幾基金屬可包含:w(c〇)6、M〇(c〇)6、 RWC0)12、Cr⑼)6、或 0S3(C())12。 沙π I達到,以使膜前驅物蒸發(或使固體膜前驅物升華)之期 驅物蒸發系統5G連接至用以控制蒸發溫度之蒸發溫 度㈣糸、、4 54。例如,為升華絲釘,通常在習知之祕中會將 8 1278906 膜前驅物的溫度升高至約40T至45T。在此-溫产下,鲈其 J^例如自約i至約3 -4二=物= d用可使;載氣流動越過或經過膜前驅物。與縣; i患供化物(如—氧化碳⑼、或其混合物。例如, -= ί係連接至膜前驅物蒸發系統5〇,且其用以例如夢 由饋达官線61供應膜前驅物上之載氣。在另 」= .統60係連接至氣相前驅物輸送系統40,且用以在膜前驅物^ : 氣至膜前驅物4=二==镇4管= 例如,載氣流量範圍可介於约5 Qp 二二及貝里抓里&制裔。 酬峨。例如,载 經由更細子,魏_罐 SCCm。 ^ ^了^fj其進人連接至處理室之蒸氣分配 結,氣相前前凝物蒸氣分解及凝 如,可將基接至条氣線溫度控制系統42。例 例如,氣相前㈣又,至、力專於或大於蒸發溫度之值。此外, 以。 物輸送系統4°之特徵在於超過約每秒5。升:高 氣室3im通3氣分配系統3〇包含:充 33前,在此充氣室32中墓 一、後進入基板25上之處理區 接至用以控制其溫度之分配;制另外’蒸氣分配板34可連 ::;度設定至約等於蒸溫=ί 9 1278906 形成薄膜。基板支座20係藉由其連接至基板溫度控制系統22的 優點’用以升高基板25之溫度。例如,基板溫度控制系統a可 用以將基板25之溫度升高上至約500χ。在一實施例中,基板溫 度範圍自約100T至約500T。在另一實施例中,基板溫度赢圍: 約300°C至約400T。此外,處理室1〇可連接至用以控制室壁溫产 之室體溫度控制系統12。 例如如上所述,習知之系統考慮:對於羰基釕而言,為了限 制金屬蒸氣前驅物分解及金屬蒸氣前驅物凝結,在溫度範圍約 40T至45°C内操作膜前驅物蒸發系統50及氣相前驅物輪送系統 40。例如,羰基釕前驅物可在升高之溫度下分解以形成副產物'、', 如下所示者: (1)The deposition of bulk Cu was carried out after Ru deposition, which was found to be due to good adhesion between the (3) and Ru layers. Conventionally, the ruthenium layer can be formed by pyrolysis of a ruthenium-containing precursor, such as a carbon-based ruthenium precursor, in thermal chemical vapor deposition (TCVD). When the substrate temperature is lowered below about the shed. c = 'The material properties θ of the layer (8) deposited by pyrolysis of a few sulphur precursors (e.g., Ru3(c〇)i2) deteriorate. Therefore, the increase in reaction by-products mixed into the hot-deposited rib layer will result in an increase in the surface morphology properties of the county at a low rate (eg nodules 4〇n:r teaches the second 9 self-recording precursors at substrate temperatures below about The decrease of the desorption rate of c, ,, and % can explain the above two phenomena. The deposition line of this matrix is suffering from a low deposition rate, so that the second aspect of the invention provides a species for use in the solid state. Fresh preparation method. The method comprises: self-fixing the private scorpion ,, first 固 固 刖 刖 刖 勰铷 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 》 A pure solid, in the disk. In the embodiment, in another embodiment, in another embodiment, by means of a stamping process. 7 1278906 In the embodiment, by impregnation In the preparation of solids, a solid shape is prepared by a spin coating process in another embodiment. [Embodiment] Various pairs of Litong components. 妒&# /b accumulation, first special geometry and details However, it should be understood that the present invention can be borrowed from other This special neighbor. ^下' her ginseng code system to correct the seal-like features = especially to the sink on the substrate (four) unified the sinking system ==) = J useful to support the substrate 25 substrate support 2G, and the thin layer is formed 'connected to the film by the gas phase precursor transport system 4G, and the vapor is evaporated to the vacuum pumping system 38, which is connected to the vacuum pumping system 38, i It is suitable for the film ΐΓΠ1, and the film is also used to store the film precursor, and the phase σ′, ,, is enough to lick the film precursor and the gas film precursor is introduced into the gas 则=, The temperature of the system 40. As will be described below in conjunction with Figures 3 through 6, the inclusion of a bulk film precursor is included. Further, for example, the film precursor genus may comprise: a few groups (Ru3(C0)12), or a few groups. (cow. $b', for example, the base metals may comprise: w(c〇)6, M〇 (c〇) 6, RWC0)12, Cr(9))6, or 0S3(C())12. The sand π I is reached to evaporate the film precursor (or sublimate the solid film precursor). The evaporator evaporation system 5G is connected to the evaporation temperature (4) 糸, 4 54 for controlling the evaporation temperature. For example, for a sublimation stud, the temperature of the 8 1278906 membrane precursor is typically raised to about 40T to 45T in a conventional secret. In this-temperature production, 鲈J^ can be used, for example, from about i to about 3 -4 di = material = d; the carrier gas flows over or through the membrane precursor. And the county; i suffer from a chemical (such as - carbon monoxide (9), or a mixture thereof. For example, -= ί is attached to the membrane precursor evaporation system 5 〇, and it is used, for example, to supply the film precursor by the feeder line 61 The carrier gas is connected to the gas phase precursor delivery system 40 in another system, and is used in the membrane precursor ^: gas to membrane precursor 4 = two = = town 4 tube = for example, carrier gas flow The range can be between about 5 Qp 22 and Berry Catch & 峨. For example, through the more fine, Wei _ can SCCm. ^ ^ ^fj its incoming connection to the processing room vapor distribution The junction, the gas phase front condensate vapor decomposition and condensation, can be connected to the gas line temperature control system 42. For example, the gas phase before (four) again, the force is specific to or greater than the evaporation temperature value. The object transport system 4° is characterized by more than about 5 liters per second. The high air chamber 3im through 3 gas distribution system 3〇 includes: before filling 33, in the plenum 32, after entering the substrate 25, The treatment zone is connected to the distribution for controlling its temperature; the other 'vapor distribution plate 34 can be connected::; degree is set to be approximately equal to the steam temperature = ί 9 1278906 The substrate holder 20 is used to increase the temperature of the substrate 25 by its connection to the substrate temperature control system 22. For example, the substrate temperature control system a can be used to raise the temperature of the substrate 25 up to about 500 Å. In one embodiment, the substrate temperature ranges from about 100 T to about 500 T. In another embodiment, the substrate temperature wins: about 300 ° C to about 400 T. Additionally, the processing chamber 1 can be connected to control the chamber wall temperature The chamber temperature control system 12 produced. For example, as described above, conventional systems consider that for carbonyl ruthenium, in order to limit metal vapor precursor decomposition and metal vapor precursor condensation, operating in a temperature range of about 40T to 45 ° C Membrane precursor evaporation system 50 and gas phase precursor transfer system 40. For example, a ruthenium ruthenium precursor can be decomposed at elevated temperatures to form by-products ', ', as shown below: (1)

RiMCOh *(ad) <=> RU3(C0)x *(ad) + (12-X) CO (g)RiMCOh *(ad) <=> RU3(C0)x *(ad) + (12-X) CO (g)

Ru3(C0)x *(ad) 3Ru (s) + x CO (g) ⑵ 其中這些副產物可吸附(即凝結)至沈積系統i之内部表面 上。這些表面上所累積之材料可在基板與基板間引發問題(如^程 重覆性)。或者,例如羰基釕前驅物在經降低之溫度下會凝姓 起再結晶,即: 曰""ΉRu3(C0)x*(ad) 3Ru(s) + x CO (g) (2) wherein these by-products can be adsorbed (i.e., condensed) onto the inner surface of the deposition system i. The material accumulated on these surfaces can cause problems between the substrate and the substrate (e.g., repeatability). Alternatively, for example, a ruthenium carbonyl precursor will recrystallize at a reduced temperature, i.e.: 曰""Ή

Ru3(C0)i2 (g) <^> RU3(C0)i2 *(ad) . ,而,在此類具有窄小製程,絲纟了之低蒸氣壓 二導,沈積速率變得極低的部份因素。例如,沈積速率可低至 母分鐘1A。因此,根據一實施例,係將蒸發溫度提升至大於辇 於、’勺40 C。或者,係將蒸發溫度提升至大於或等於約。在 it—示範性實施例中,係將蒸發溫度提升至大於或等於ί 1 在本發明之更一示範性實施例中,係將蒸發溫度提升至自約 ㈤至ioo°c之範圍,及例如自約6〇qC至9(rc之範圍。經提之 :二,有較兩瘵氣壓(例如其量幾乎大了一個階次)因而使墓發球 H,ΐ,此,本發明者預期沈積速率之增加。且-般ϋ :、 在處理一或多個基板後應週期性地清理沈積系統1。例如,由與本 1278906 ^在同天:明且同在審理中之美國專利申請案 1":^ rMr rsystem f〇r perf〇-g 方法=之額外詳細說:二二^ 者之㈣&沈積速率係無前驅物在分解、或凝結、或兩 ·==湘ΐ送至基板的量呈比例。因而,為了於基板至下 率、膜厚、膜均=沈f速率及維持相同之處理成效(如沈積速 ,前驅物蒸氣之流量;重=f共監測、調整或控制膜 度及蒸發溫度與流量預,作者可使用蒸發溫 ΐ。此重要的是將流量量測得更準 案編號同=理/之美國專利申請 Measuring a FlQW Rate i二 ^ f Method and Astern for 之發料娜細 支座20、基板財控制系統22、室體溫以至匕基板 系統30、氣相前驅物輪送系統H J、、、先2、瘵氣分配 供給系統60。 …、 膑别驅物療發系統50及載氣 沈積上中顯示用以將薄膜(如釕或鍊金屬奶 有用以:處理室,具 上。處理室110係連接至前驅物輸送 ^屬=形成在該基板 105具有用以儲存及蒸發臈前 |圖之驅物輸送系統 ⑽及用以輪送膜前驅物蒸氣之氣月=蒸發系統 處理室110包含:上部腔室ln、下=^9統别。 一開口 114係形成於下部腔室112下排氣室113。 與排氣室113相連接。 目鑌開口處下部腔室112 11 1278906 仍參照® 2,基板支座120提供一水平表面以支樓 基板(或晶圓)125。可藉由自排氣室113之下部向上延 撐構件122支撐基板支座120。基板支座12〇之邊緣上設有,$ 性的導環124,用以將基板125固定在基板支座12〇上。此 板支座120包含連接至基板支座溫度控制系統128之加熱器土。 該加熱器126可例如包含-或多個電阻式加熱元件。或者,加敎 器126可例如包含輕射加熱系統,如鎢—自素燈。 ^ 用以I測基板溫度、基板支座溫度或兩者之溫度的一或多個溫产Ru3(C0)i2 (g) <^> RU3(C0)i2 *(ad) . , and in such a narrow process with a low vapor pressure and a low conductivity, the deposition rate becomes extremely low. Part of the factor. For example, the deposition rate can be as low as 1 A in the mother minute. Thus, according to one embodiment, the evaporation temperature is raised to greater than 、, '40C. Alternatively, the evaporation temperature is raised to greater than or equal to about. In the it-exemplary embodiment, the evaporation temperature is raised to greater than or equal to ί 1 . In a further exemplary embodiment of the invention, the evaporation temperature is raised to a range from about (f) to ioo °c, and for example From about 6 〇 qC to 9 (the range of rc. It is mentioned that: two, there are two gas pressures (for example, the amount is almost one order), thus making the tomb serve H, ΐ, this, the inventors expected the deposition rate The addition is as follows: and the deposition system 1 should be periodically cleaned after processing one or more substrates. For example, U.S. Patent Application 1 " :^ rMr rsystem f〇r perf〇-g Method=The extra details are as follows: (2) & deposition rate is the amount of precursor-free decomposition, or condensation, or two === Proportion. Therefore, in order to achieve substrate-to-lower rate, film thickness, film = sink rate and maintain the same processing results (such as deposition rate, precursor vapor flow; weight = f total monitoring, adjustment or control of film and evaporation Temperature and flow pre-, the author can use evaporation temperature. This is important to measure the flow rate more The case number is the same as the US patent application, Measuring a FlQW Rate i, and the method of Astern for the hairpin support 20, the substrate control system 22, the chamber temperature and the substrate system 30, the gas phase precursor wheel The delivery system HJ, the first, the xenon distribution supply system 60. ..., the screening and delivery system 50 and the carrier gas deposition are used to display a film (such as a bismuth or chain metal milk useful to: a treatment chamber, The processing chamber 110 is connected to the precursor transport system = the substrate transporting system (10) is formed on the substrate 105 for storing and evaporating the front view, and the vapor month for the film precursor vapor is evaporated. The processing chamber 110 includes: an upper chamber ln, a lower = ^9. An opening 114 is formed in the lower chamber 112 under the exhaust chamber 113. It is connected to the exhaust chamber 113. The lower chamber 112 11 is seen at the opening. 1278906 Still referring to ® 2, the substrate support 120 provides a horizontal surface to the support substrate (or wafer) 125. The substrate support 120 can be supported by the upper extension member 122 from the lower portion of the exhaust chamber 113. The substrate support 12 On the edge of the cymbal, there is a $ guide loop 124 for the base 125 is secured to the substrate holder 12. The plate holder 120 includes a heater soil coupled to the substrate holder temperature control system 128. The heater 126 can, for example, comprise - or a plurality of resistive heating elements. The 126 may, for example, comprise a light-radiating heating system, such as a tungsten-self-priming lamp. ^ One or more warming products used to measure the substrate temperature, substrate support temperature, or both.

感測器;’施行監控、調整或翻基板溫度絲板支座溫产^ 少其中一種之控制器。 & 在處理期間,經加熱之基板125可熱解膜前驅物蒸氣(如· 金屬前驅物),並使薄膜(如金屬層)能夠沈積在基板125上。^ -實施例,膜前驅物包含固體前驅物。根據另—實施例,膜 物包含金屬前輸。根據另—實關,麟雜包含_金屬前 =物。更根據另-實施例,膜前驅物包含縣金屬前驅物。更根 據另一實施例,膜前驅物可為羰基釕前驅物,例如Ru3(c〇)i2。更 ,據本發明之另-實闕,膜前驅物可為m基銖前驅物,例如 熱知熱化學氣相沈積技藝者應注意:在不脫離本發明之 範寺下¥可使用其他幾基姜了前驅物及幾基銖前驅物。更在另一, 施例中,膜前驅物可為 w(co)6、M〇(CO)6、c〇2(co)8、Rh4(c〇)i2^Sensor; a controller that performs monitoring, adjustment, or turning of the substrate temperature wire support to produce one of the lesser ones. & During processing, the heated substrate 125 can pyrolyze the film precursor vapor (e.g., metal precursor) and enable deposition of a thin film (e.g., a metal layer) on the substrate 125. ^ - Example, the film precursor comprises a solid precursor. According to another embodiment, the film comprises a metal pre-feed. According to another - actual customs, Lin Miscellaneous contains _ metal before = object. Still further according to another embodiment, the film precursor comprises a county metal precursor. According to another embodiment, the film precursor can be a ruthenium carbonyl precursor such as Ru3(c〇)i2. Further, according to another aspect of the present invention, the film precursor may be a m-based ruthenium precursor, for example, those skilled in the art of thermal chemical vapor deposition should note that other base ginger can be used without departing from the invention of the present invention. Precursors and several precursors. Further, in another embodiment, the film precursor may be w(co)6, M〇(CO)6, c〇2(co)8, Rh4(c〇)i2^

Cr(CO)6、或(^(co)!2。將基板支座i2〇加熱至適合用以例如將豐 望之此、Re $戈其他金屬層沈積至基板125上之預定溫度 可將連接至腔室财控㈣統121之加熱器(糊示)銳處理室 之壁巾以將腔錢加熱至預定之溫度。該加熱器可將處理室之 土溫維持在自約飢至約1〇(ΤΟ或自約4G°C至約80。〇壓力儀(未 圖示)係用以量測處理室壓力。 立在^ 2中亦顯示,蒸氣分配系統13〇係連接至處理室11〇之 上部腔室ill。蒸氣分配系統13〇包含用以自蒸氣分配充氣室132 12 1278906 由一,多個孔口 134通入至基板125上方之處 區133的瘵氣分配板13卜 上匕外,在上部腔室lu設置開〇 135,用以將前驅物基氣自 =相刖驅物輸送系、统14〇通入蒸氣分配充氣室132。並且,設置、w ίΐίΐ70件136ii用以使經冷卻或經加熱之液體流動之同軸液Ϊ g :0以控制条氣分配系統⑽之溫度,因 控=統=供應至液體管道。蒸氣分配溫度控制系統i38 .液體源;熱交換器;—或多個用以量測液體溫度或策氣 分配板溫度或兩者之温度的❹⑺;以將蒸氣分 ' 溫度控制在自約20°C至約1〇〇。(;之控制器。 ' " _膜^物蒸發系、統150係用以支撐°膜前驅物及藉由升高膜前 :二二::=膜别驅物蒸發(或昇華)。設置前驅物加熱器1'54 以力物’以維持膜前驅物在可產生期望膜前驅物 驅物加熱器154係連接至用以控觸前驅物溫度之基 制糸統156。例如,前驅物加熱器154可用以調整膜前驅 ,之/皿度至大於或等於約帆。或者,將蒸發溫度升高至大 。例如,將蒸發溫度升高至大於或等於約㈣。= 貫方e例中,將瘵發溫度之範圍升高至自約6〇τ至丨〇〇t>c, 實施例,至約60°C至90T。 當加熱膜前驅物以使其蒸發(或昇華)時,可使 或,膜前驅物。該與羰基金屬一起使用之載氣可包含,=惰 性氣體、如稀有氣體(如He、Ne、Ar、Kr、Xe)、或一氧化物,或 ^合。例如,載氣供給系統⑽係連接至膜前_蒸發系 150々,且二用以例如供應膜前驅物上之載氣。雖然圖2未圖示'、但 載氣供給^ 160亦可以連接至氣相前驅物輪送系統14(),以^ 鈿驅物之瘵氣進入氣相前驅物輸送系統14〇之時或之後, 氣至膜前驅物之蒸氣。載氣供給系統16〇可包含· 〜' 162 ^ 164^f |^i^ii61165: 13 1278906 例如,載氣之流量範圍可自約5 sccm(每分鐘標準立方公分)至約 1000 scon。例如在一實施例中,載氣之流量範圍可自約i〇 sccm 至約200 SCCm。例如在另一實施例中,載氣之流量範圍可自約2〇 seem 至約 100 seem。 少_ 測ii66係設置用以量測來自膜前驅物蒸發系統iso 之總耽體流置。該感測器166可包含例如質量流量 用感測器166及質量流量控制器165可決定輸送至處理° j前,量7戈者,感測器166可包含吸光感測器以量測流至 處理至110之氣體流中的膜前驅物濃度。 物之下游’且其可將氣相前驅 物輸达糸統140連接至排氣管線116。旁通線167係 氣相前驅物輸送系統14〇,及用以穩定至處理室 : 給。此外’旁通閥168位於自氣相前驅物輸送系統的 下游,係設置於旁通線167之上。 支的 仍參照圖2 ’氣相前驅物輸送系統14〇包 及第二? 142之高傳導蒸氣線。此外,氣相二物 未圖示)用以加熱氣相前驅物輸送系統140之 線溫f控㈣統143。可控制蒸氣線之溫度以防止 減線中凝結。可將蒸氣線之溫度控制自約2〇〇c至約⑽ mi肌。修,可崎紐温度奴__或大^ 麻」^卜,可自稀釋氣體供給系、统190供應稀釋氣體。兮嫌緩今 ?”含,例如惰性氣體、如稀有氣體(如He、此、紅‘稀=乳 例如’稀釋氣體供給系、统⑽係連接至氣相前驅錄屬送。, 且用以例如將轉氣體供應至氣械前 .^ ⑽可包含:氣體源19卜一或多個㈣二9柿樟供給糸統 194及質量流量控制哭刚二,制閥192、—或多個過濾器 · 例如,稀釋氣體之流量可自約5 seem(母/刀鐘私準立方公分)至約1〇〇〇 _之 自为 14 1278906 係藉由質==、⑽、勝⑷及142 前躯物蒸氣及稀釋紐之流中止及載氣、膜 且控制器196可根據感測器⑽^輪。制器196, 而控制載氣流量,以獲得預_ 二 ^貝里控制器165 118。真空泵浦119係用以排空處理^ ^ =連接至泵抽系統 用以在處理期間自處理室110 At ^羞之真空程度,及 (ΑΡ〇Π5Λ^,Cr(CO)6, or (^(co)!2. The substrate holder i2〇 is heated to a predetermined temperature suitable for depositing, for example, a desired metal layer on the substrate 125. To the chamber financial control (four) system 121 heater (paste) sharp processing room wall towel to heat the cavity to a predetermined temperature. The heater can maintain the temperature of the treatment room from about hunger to about 1 〇 ( ΤΟ or from about 4G ° C to about 80. 〇 pressure gauge (not shown) is used to measure the pressure in the processing chamber. Standing in ^ 2 also shows that the vapor distribution system 13 is connected to the upper part of the processing chamber 11 The chamber ill. The vapor distribution system 13A includes a helium gas distribution plate 13 for passing from the vapor distribution plenum 132 12 1278906 from one or more orifices 134 to a region 133 above the substrate 125. The upper chamber lu is provided with an opening 135 for introducing the precursor base gas from the phase-phase transport system, the system 14 into the vapor distribution plenum 132. And, setting, tw ΐ ΐ 70 136 ii for cooling or The heated liquid flow of the coaxial liquid Ϊ g : 0 to control the temperature of the strip gas distribution system (10), due to control = system = supply to liquid Vapor distribution temperature control system i38. Liquid source; heat exchanger; or a plurality of enthalpy (7) for measuring the temperature of the liquid or the temperature of the gas distribution plate or both; 20 ° C to about 1 〇〇. (; controller. ' " _ membrane ^ evaporation system, system 150 is used to support the membrane precursor and by raising the membrane before: 22:: = membrane Evaporation (or sublimation) of the precursor. The precursor heater 1'54 is provided to maintain the film precursor to maintain the desired film precursor heater heater 154 to the base for controlling the temperature of the precursor. For example, the precursor heater 154 can be used to adjust the film precursor to a greater than or equal to about the sail. Alternatively, the evaporation temperature is raised to a large value. For example, the evaporation temperature is raised to greater than or equal to about (d) = In the example of the example, the range of the burst temperature is raised from about 6 〇τ to 丨〇〇t>c, in the embodiment, to about 60 ° C to 90 T. When the film precursor is heated to When evaporating (or sublimating), the film precursor can be used. The carrier gas used with the metal carbonyl can contain, = inert gas a body such as a rare gas (such as He, Ne, Ar, Kr, Xe), or a mono-oxide, or a combination. For example, the carrier gas supply system (10) is connected to the pre-membrane evaporation system 150, and the second is used, for example. Supplying the carrier gas on the film precursor. Although not shown in Figure 2, the carrier gas supply 160 can also be connected to the gas phase precursor transfer system 14() to enter the gas phase precursor with helium gas. The gas to the film precursor vapor at or after the material delivery system 14 . The carrier gas supply system 16 包含 can include ~ 162 ^ 164 ^ f | ^ i ^ ii 61165: 13 1278906 For example, the flow rate of the carrier gas can be From about 5 sccm (standard cubic centimeters per minute) to about 1000 scon. For example, in one embodiment, the flow rate of the carrier gas can range from about i 〇 sccm to about 200 SCCm. For example, in another embodiment, the flow rate of the carrier gas can range from about 2 〇 seem to about 100 seem. Less _ The ii66 system is configured to measure the total carcass flow from the membrane precursor evaporation system iso. The sensor 166 can include, for example, a mass flow sensor 166 and a mass flow controller 165 that can determine the amount to be delivered before the process, and the sensor 166 can include a light absorbing sensor to measure the flow to The film precursor concentration in the gas stream to 110 is processed. Downstream of the object' and it can connect the gas phase precursor to the exhaust system 140 to the exhaust line 116. The bypass line 167 is a gas phase precursor delivery system 14A and is used to stabilize to the processing chamber: In addition, the bypass valve 168 is located downstream of the gas phase precursor delivery system and is disposed above the bypass line 167. Referring still to Figure 2, the gas phase precursor delivery system 14 is packaged and second. 142 high conductivity vapor line. In addition, the gas phase is not shown to be used to heat the line temperature f control system 143 of the gas phase precursor delivery system 140. The temperature of the vapor line can be controlled to prevent condensation in the line. The temperature of the vapor line can be controlled from about 2 〇〇c to about (10) mi muscle. Repair, can be used to supply dilution gas from the dilution gas supply system and system 190. Included, for example, an inert gas, such as a rare gas (such as He, this, red 'thin = milk, for example, 'diluted gas supply system, system (10) is connected to the gas phase precursor to be sent, and for example Before the supply of gas to the gas machine. ^ (10) may include: gas source 19, one or more (four) two 9 persimmons supply system 194 and mass flow control crying two, valve 192, - or multiple filters For example, the flow rate of the diluent gas can be from about 5 seem (mother / knife clock private cubic centimeters) to about 1 〇〇〇 _ from 14 1278906 by mass ==, (10), wins (4) and 142 precursor vapor And the flow of the dilution and the carrier gas, the membrane and the controller 196 can control the carrier gas flow according to the sensor (10), the controller 196, to obtain the pre- _ 2 ^ Berry controller 165 118. Vacuum pump 119 Used to evacuate the treatment ^ ^ = connected to the pumping system for the degree of vacuum from the processing chamber 110 At the time of processing, and (ΑΡ〇Π5Λ^,

(ΤΜ^ ^ίίίfS 期間,可導入載氣、稀釋氣體栗浦。於製程進行 體壓力之範圍可自約i mTGrr “ 例如,腔 力之範圍可自約5 mT〇rr自約50二::!】如,雜壓 一础趟?卩十pqp肖^ mT〇rr壓力控制裔ns可包含 物材集器117可自處理室110收集未反應之前驅 -杜ί^Γ處理室11G中之基板支座120,如圖2所示,其設有 ί板3 有其中兩者有’)用以支撐、舉起和放下 m基升降銷m係連接至板123 ’並可下降至基板支During the period of ΤΜ^^ίίίfS, the carrier gas and the dilution gas can be introduced. The range of body pressure in the process can be about i mTGrr. For example, the cavity force can range from about 5 mT〇rr to about 50::! 】 For example, the miscellaneous pressure of a foundation? 卩 ten pqp Xiao ^ mT rr pressure control ns can include material collector 117 can be collected from the processing chamber 110 unreacted before the drive - Du ί ^ Γ processing room 11G substrate The seat 120, as shown in FIG. 2, is provided with a board 3 having both of them ') for supporting, lifting and lowering the m-base lifting pin m-connected to the plate 123' and can be lowered to the base plate

ί政Λ表面之+1的位置。一驅動機構129利用如氣红之裝置來 升、降板123°藉著自動傳送系統(未圖示),基板L ==^,2G2而傳送進、出處理室 : 旦基板125自傳送系統所接收,基板升降銷127 會下降而將基板降至基板支座12〇的上表面。 ,參照圖2,控制器包含:微處理器、記憶體及數位輸 ^輸出接口。該數位輸入輸出接口能夠產生控制電壓,此控制電 壓不^足以溝通和活化沈積系統1〇〇之輸入訊號,而且可監測來 自沈積系統100之輸出訊號。此外,沈積系統控制器18〇可連接 15 1278906 ;包含了控制器196、蒸氣線溫度控制系統143及蒸 ir3f 1心前驅物輸送系統齢蒸氣分配溫度控制系 上、工果吸糸統118及基板支座溫度控制系統128,並可和 於?ί空泵吸系統m中,控制器18。連接至用 憶體中的程式可用以根據經儲存之製程處方來控制前 =沈積祕1GG組件m驗彻⑽The position of +1 on the surface of ί Λ. A driving mechanism 129 uses a device such as a gas red to raise and lower the plate 123° through an automatic transfer system (not shown), and the substrate L ==^, 2G2 is transferred into and out of the processing chamber: the substrate 125 is received from the transfer system The substrate lift pins 127 are lowered to lower the substrate to the upper surface of the substrate holder 12A. Referring to FIG. 2, the controller includes: a microprocessor, a memory, and a digital output interface. The digital input and output interface is capable of generating a control voltage that is insufficient to communicate and activate the input signal to the deposition system and to monitor the output signal from the deposition system 100. In addition, the deposition system controller 18 can be connected to 15 1278906; includes a controller 196, a vapor line temperature control system 143, and a steaming ir3f 1 core precursor delivery system, a vapor distribution temperature control system, a fruit suction system 118, and a substrate. The support temperature control system 128 is coupled to the controller 18 in the air pumping system m. The program connected to the memory can be used to control the pre-fabricated 1GG component m according to the stored process recipe (10)

Corporation, Dallas STATI0N 61〇ΤΜ。控制器⑽亦可以普通用^ 之冤恥數位訊號處理器等方式施行之。 網路= 匕系統1〇0之附近’或其可藉由網際 可使用直㈠糸統⑽之遠端。因此,控制器180 直接連崎網路或網際網路中之至少-種來料Μ 統100交換資料。控制器18。可連接至客 7制; i接二台r如控制器、舰器等)可使用二器 直接連_、内邛網路或網際網路中之至少一種來 统^:^^圖3顯示根據本發明之—實施例之膜前驅物基發夺 統300之板剖面圖。膜前驅物蒸發系統 土 外壁312及底部314。此外,膜前驅物蒸發^ ^ 具$ 320,用以緊密地連接至容器31〇,其中罩蓋32人=j盍 至如圖1 _ 2所示之薄膜沈積祕之處 接 二謂可由顯賴造而成,可或未包含包i層 此外’各器31〇係用以連接至加敎哭(夫— 物蒸發系統_之蒸發溫度,及連接[度控膜前驅 施行監測、調整或控制蒸發溫度中至少_者。‘、人圖不)以 提升至如前所述之適當值時’膜前驅物會蒸發(ΐ昇華 16 1278906 ΐίίίΪίΐ送至薄膜沈積系統之膜前驅物蒸氣。容器3l〇 亦緊技地連接至載氣供給系( :310 收用以輸送膜前驅物之載氣。,、千“ 係用以接 -其ίΠ3 i亦參照圖4,膜前驅物蒸《統_更包含. 土氐托篮330,Λ在容器31〇之底部314上,且具 ,底托盤330上之基底外壁332。基底外壁g 包含㈣將上域支撐於其上基 「;啦 -外=底外壁332包含一或多個基底==33343,^^^ ^ 350而、自載氣供給系統(未圖示)之載氣流動越過膜前i »驅物經由罩蓋320中之屮口 ς99ί :央動官道318與膜前 膜别驅物水平應低於基底托盤開口 334之位置。 之 包人仍一參 =ra3且亦參照圖5A及5B ’膜前驅物蒸發系統300更 堆l托^位另用以支撐膜前驅物湖且該可 堆疊上托盤_包含:上外壁342與内壁344, 3 兩者間。内壁344定義了中央流動管道 343。口此,將第一上托盤 34〇 ^ ^ ’力it- *要可將—或多個附加上托盤放置 ^ 上托i之上支撐邊緣上而加以支撐。每一上托盤340之上 1^個上托鋼口 _,用以使來自載氣供給系 ft載f過膜前驅物咖而流向容器之中央 二動=318,並與膜前驅物蒸氣經由罩蓋32〇中之出口微排 =Ξί、=ΐ、344應較上外ΐ 342為短以使載氣實質上徑向地 。此外’每—上托盤340中之膜前驅物水平 r壁344之高度,且低於上触開口 _之位置。 狀可H33Gf_j堆疊上托盤34G係柱形。然而,其形 艾歹1 口’托a之形狀可為方形、正方形或橢圓形。類似 17 1278906 地’因當動管道318可為不同形狀。 ^ /田、或夕個了堆宜上托盤340堆最至美底杯# L 士 係形成一托盤疊370;該托盤聂星古入=广加邊330上日$, 332與容器外壁312間,及介:二J ;於基,盤330之基底_ 壁342與容器外壁312間之340之上外 -及介於-或多個可4用上二成丄於基底f盤330之基底外壁332 •間之空間,因而可確保環形容器外壁312 實施例中,容器⑽得 ^之間致。換而言之,在- 對準的方式設置之。俾使基底外壁332與上外壁342為垂直 至(2〇t之__ ®自⑵個 在-示範性實施例中:托盤I 例中托盤數目可為⑸個。 基底托盤330所支#^ if f 37〇包含一基底托盤330及至少由 4中所示,或可與二3至=,基底托盤33G可如圖3與圖 配置。換而言之,二圖5β中所示之上托盤34〇具有相同之 中顯示托盤疊370舍人一 j 〇可具有内壁。雖然於圖3至圖5B 疊上托盤340,但系广底托盤330與一或多個可分離之可堆 該托盤疊370,包可包含容器31〇,與托盤疊370,, 或多個上托盤340的:L a中所不具有整合了一基底托盤330與一 為一整體。可了解:、「1:物件’俾使基底外壁332與上外壁342 可辯識分界線的鑄模^入^ ^含一單一結構,如在托盤間不具有 久性黏合或機械式遠構’及在托盤間具有永久性接合的永 任何黏合性或機構。可了解:可分離式在托盤間不包含 基底托盤:式i;久,接合或暫時性接合。 340,係用以支標 $無論是可堆疊或整體性之上托盤 含固體前驅物。根據‘'tH50。根據一實施例,膜前驅物350包 根據另一實施例,腺义^^知例,膜前驅物350包含液體前驅物。 例,膜前驅物35〇 ^鴨350包含金屬前驅物。根據另一實施 匕3固體金屬前驅物。更根據另一實施例,膜 18 1278906 =區2 350包含羰基金屬前驅物。更根據另一實施例,膜前驅物 例,幾基舒前驅物,例如we更根據本發明之另-^施 350 } Re2(CO)i〇 採固體粉^^膜物35G可包含固體_物。111體前驅物可 數個iiSi iif—或多個固體錠劑形式。例如,可藉由 壓:ίϋϊ 一或多個固體錠劑,該製程包含:燒結處理、衝 固理’或旋轉式塗佈處理,或任何其組合。此外 托炉^1开y之固體前驅物可能會/不會黏於基底托盤或上 例⑹’可在燒結齡中將耐火金屬粉末以真空及^兩 含^兄v t 及25帆之溫度加以燒結。該燒結處理可包 、、w产:,=物粉末之軟化溫度;及在燒結處理中在此軟化 。或者例如,可使耐火魅金屬粉末分散於液體媒介 产理分發至塗佈基板(如托盤),然後使用旋轉塗佈 ί以ί配至塗佈基板的整個表面。接續烘烤此旋轉塗 一、=麵之㈣。或者例如’可糾火絲金屬粉末分散於 如溶劑)中’且可將一塗佈基板浸入該液槽中。該塗佈基 板、桿、多孔板(如篩孔)。之後,可烘烤該塗佈 ft减剩餘之溶劑。該塗佈基板可為基底托盤330及/或上托 j 34〇,或者可為分離之基板,在製備固體前驅物於其上之後再將 ,、放置在基底托盤330及/或上托盤340中。 如刖所述,載氣係由載氣供給系統(未圖示)供應至容器 〇丄如圖3及圖6所示,可藉由緊密連接至罩蓋32。的氣體供給 H圖不〕通過罩蓋320將載氣連接至容器310。氣體供給線供應 氣體I道380,該氣體管道380向下延伸通過容器31〇之外壁312, 通過容器310之底部314而向環狀空間360開口。 再參照圖3,例如容器外壁312之内直徑範圍可自約1〇 cm 至約100〇11及例如自約15(:111至約40〇11。例如,外壁312之内直 19 1278906 2t2(lCm。例如,出口 322之直徑及上托盤340之内壁344 此外其麻自、、勺5 CID至約2〇⑽。例如,出口直徑為10 cm。 之外辟及每—上托盤_之外直徑範圍可為容器31〇 ihn,徑的約™至約"%,而例如托盤直徑範圍可為 =3之外壁312的内直徑的約85%至99%。例如,托盤 為19 75 cm。此外,基底托盤3別之基底外壁332及每一上 11JΠ:高度範圍自約5 mm至約50 ,且例如,每一托 & 為 另外’每一内壁344之高度範圍為上外壁 34 f的'約⑽至約猶。例如,每一内壁的高度範圍可自約— 至、力45 mm,且例如其高度為2〇刪。 般門一或多個基底托盤開口 334及—或多個上托 及-或多個狹缝。或者,—或多個基底托盤開口 — 或夕個上托益開口 346可包含一或多個環狀孔口。例如, 母一孔口之直徑範圍可自約〇. 4咖至約2咖。例如,每一孔口之 ίΐΐί: Γ1在—實施例中’選擇孔口之直徑及環狀空間36〇 之寬度俾使經桃狀空㈤360之傳導足以大於孔口之淨傳以 使經由環狀空間流出之載氣維持實質上的均勻分配。例如 之數目範圍可自約2至約1 〇 〇 〇個孔口,且經由更多例子,可自約 50至約100孔口。例如,一或多個基底托盤開口 3如可包含(72) 個直徑為1腿之孔口,且一或多個可堆疊托盤開口 346可包含(π) 個直徑為1麵之孔口,其中環狀空間36〇之寬度約為2 65麵。 可使用膜前驅物蒸發系統300及300,作為圖丨中之膜前驅 物蒸發系統50,或圖2之膜前驅物蒸發系統15〇。或者,可將系 統300或300’使用於任何適合用以自前驅物蒸氣將 積至 基板上之沈積系統。 、、 現在參照圖7描述一種將薄膜沈積在基板上之系統。使用流 程圖700顯示本發明在一沈積系統中沈積薄膜之步驟。薄膜沈& 開始於710,將基板放置在沈積系統中,使得接續可將薄膜形g於 20 1278906 基板上。例如,沈積系統可包含任何上述於圖丨及圖2 =統:沈積系統可包含:處理室,用於沈積處理;基板 Ί iii理室且用以支撐基板。然後,在72g中將膜前驅物通入ΐ =^之膜前驅物蒸發系統。此外例如,可加熱前驅物蒸 膜乂 將膜前驅物加熱以形成膜前驅物蒸氣。接下來可將 2=區物統經由前驅物蒸氣輸送系統輸送至處理室。在‘將 以分解膜前驅物蒸氣的—基板溫度,然後在750 暴露於膜前驅物蒸氣中。步驟71〇至750可連續ί覆期 主之—人數2將金屬膜沈積至期望之基板數目。 換托if 或多個基板之後,可在中週期性地更 以補;:4〇ί 37〇、或一或多個基底托盤330或上托盤340 以補充母一托盤中之膜前驅物350的水平。 者库個實施例進行詳細說明,但熟知此技藝 明:i疇:或 因此’所有諸如此類之修改皆應包含於本發 【圖式簡單說明】 ,^系根據本發明之實施例之沈積系統概圖。 ‘ qif據本發明之另—實施例之沈積系統概圖。 Ξ 4係之實施例之膜前驅物蒸發系統橫剖面圖。 統中^讀咐黃‘#另一貫施例之供使用於膜前驅物蒸發系 中之可圖堆圖實施例之刪於膜前驅物蒸發系統 口 f顯示目5Α中之托盤的透視圖。 σ她據本發明之另—實施例之膜_物蒸射、統的透視 21 1278906 圖。 圖7顯示本發明之膜前驅物蒸發系統操作方法 【主要元件符號說明】 1 :沈積系統 10 :處理室 12 :室體溫度控制系統 20 :基板支座 22 :基板溫度控制系統 25 :基板 30 :蒸氣分配系統 32 :充氣室 33 :處理區 34 :蒸氣分配板 35 :分配板溫度控制系統 36 :輸送管 38 :真空栗抽系統 40 :氣相前驅物輸送系統 42 :蒸氣線溫度控制系統 50 :膜前驅物蒸發系統 54 :蒸發溫度控制系統 60 ··載氣供給系統 61 :饋送管線 63 :饋送管線 80 :控制系統 100 :沈積系統 105 :前驅物輸送系統 110 :處理室 111 :上部腔室 22 1278906 112 :下部腔室 113 :排氣室 114 :開口 115 :自動壓力控制器 117 :收集器 116 :排氣管線 118 :泵抽系統 119 :真空泵浦 120 :基板支座 121 :腔室溫度控制系統 122 :柱形支撐構件 123 :板 124 :導環 125 :基板 126 :加熱器 127 :基板升降銷 128 :基板支座溫度控制系統 129 :驅動機構 130 :蒸氣分配系統 131 :蒸氣分配板 132 :蒸氣分配充氣室 133 :處理區 134 :孔口 135 ··開口 136 :溫度控制元件 138 :蒸氣分配溫度控制系統 140 :氣相前驅物輸送系統 141 :第一閥 142 :第二閥 23 1278906 143 蒸氣線溫度控制系統 150 膜前驅物蒸發系統 154 前驅物加熱器 156 蒸發溫度控制系統 160 載氣供給系統 161 氣體源 162 控制閥 164 過濾器 165 質量流量控制器 166 感測器 167 旁通線 168 旁通閥 180 控制器 190 稀釋氣體供給系統 191 氣體源 192 控制閥 194 過濾器 195 廣量流量控制器 196 控制器 200 閘閥 202 腔體進出通道 300 膜前驅物蒸發系統 310 容器 312 外壁 314 底部 318 中央流動管道 320 罩蓋 322 出口 330 基底托盤 24 1278906 332 :基底外壁 333 :基底支撐邊緣 334 :基底托盤開口 340 :可堆疊上托盤 342 :上外壁 343 :上支撐邊緣 344 :内壁 346 :上托盤開口 350 :膜前驅物 360 :環形空間 370 :托盤疊 380 ··氣體管道 300’ :系統 310’ :容器 370’ :托盤疊 700 :在一沈積系統中將薄膜沈積至基板上之步驟流程圖 710 ··將基板放置在沈積系統中 720 :將膜前驅物通入沈積系統 730 :在多托盤蒸發系統中將膜前驅物加熱以使其蒸發 740 :將基板加熱 750 :將基板暴露於膜前驅物蒸氣中 760 :週期性地更換多托盤蒸發系統中的一或多個托盤疊 25Corporation, Dallas STATI0N 61〇ΤΜ. The controller (10) can also be implemented by a general-purpose shame digital signal processor. The network = 附近 near the system 1 〇 0 or it can be used by the Internet to use the far end of the direct (1) system (10). Therefore, the controller 180 directly exchanges data with at least one of the incoming data systems 100 in the network or the Internet. Controller 18. Can be connected to the customer 7 system; i connected to two sets of r such as controllers, ships, etc.) can be directly connected to _, internal network or at least one of the Internet to use ^ ^ ^ ^ Figure 3 shows A cross-sectional view of a sheet precursor of the film precursor of the present invention. Membrane precursor evaporation system soil outer wall 312 and bottom 314. In addition, the film precursor evaporates with $320 for tight connection to the container 31〇, wherein the cover 32 person=j盍 to the thin film deposition as shown in FIG. Made of, or may not contain a layer of i. In addition, 'these units are used to connect to the crying (the evaporating temperature of the evaporation system, and the connection [monitoring, adjusting or controlling evaporation of the control film precursor) At least _ in the temperature. ', human figure does not.' To raise the value to the appropriate value as described above, 'the film precursor will evaporate (ΐ升升16 1278906 ΐίίίΪίΐ sent to the membrane precursor vapor of the film deposition system. Container 3l〇 also Tightly connected to the carrier gas supply system (: 310 to collect the carrier gas for transporting the film precursor., thousand" is used to connect - its Π 3 i also refer to Figure 4, the film precursor steaming system _ more included. The soil basket 330 is placed on the bottom 314 of the container 31 and has a base outer wall 332 on the bottom tray 330. The base outer wall g comprises (4) supporting the upper domain on the upper base thereof; the outer-outer outer wall 332 Containing one or more substrates == 33343, ^^^ ^ 350, the more the carrier gas flow from the carrier gas supply system (not shown) Before the film, the filter is passed through the cover 320 99 : in the cover 320: the level of the front drive film 318 and the film front film should be lower than the position of the base tray opening 334. Referring to Figures 5A and 5B, the membrane precursor evaporation system 300 is further configured to support the membrane precursor lake and the stackable upper tray _ comprises: between the upper outer wall 342 and the inner wall 344, 3. The inner wall 344 defines The central flow conduit 343. The first upper tray 34 〇 ^ ^ 'force it - * can be - or a plurality of additional trays placed on the support edge of the upper support i. 1 ^ upper steel port _ above the tray 340, for the flow from the carrier gas supply system ft to the film precursor to the central motion of the container = 318, and the film precursor vapor through the cover 32 The outlet micro row = Ξί, = ΐ, 344 should be shorter than the upper outer 342 to make the carrier gas substantially radially. In addition, the height of the film precursor level r 344 in each of the upper trays 340, and It is lower than the position of the upper contact opening _. The shape of the H33Gf_j stacking tray 34G is cylindrical. However, the shape of the shape of the Ai's port 1 can be Shape, square or elliptical. Similar to 17 1278906 ground 'Because the moving pipe 318 can be of different shape. ^ / Tian, or a pile of stacks should be on the tray 340 heap up to the bottom cup # L line to form a pallet stack 370 The tray Nie Xingguin = Guangjiabian 330 on the previous day $, 332 and the outer wall 312 of the container, and the second: J; on the base, the base _ wall 342 of the tray 330 and the outer wall 312 of the container 340 above - And between - or a plurality of 4 can be used to smash the space between the outer walls 332 of the base f disk 330, thereby ensuring that the container (10) is in the annular container outer wall 312 embodiment. In other words, set it in the - alignment mode. The base outer wall 332 and the upper outer wall 342 are perpendicular to (2 〇 _ _ from (2) in the exemplary embodiment: the number of trays in the tray I example can be (5). The base tray 330 branches #^ if f 37〇 includes a base tray 330 and is at least shown in 4, or may be combined with two 3 to =, and the base tray 33G may be configured as shown in Fig. 3. In other words, the tray 34 is shown in Fig. 5β. 〇 having the same display tray stack 370 can have an inner wall. Although the tray 340 is stacked on FIGS. 3 to 5B, the wide bottom tray 330 and one or more detachable stackable tray stacks 370 The package may include a container 31, and a tray stack 370, or a plurality of upper trays 340: La does not have a base tray 330 integrated with one. It can be understood that: "1: object" The base outer wall 332 and the upper outer wall 342 can be used to identify the dividing line of the mold, including a single structure, such as no long-term adhesive or mechanical distal structure between the trays and permanent joints between the trays. Adhesiveness or mechanism. It can be understood that the detachable type does not include a base tray between trays: formula i; long, joint or temporary joint 340, used to support $ whether the stackable or integral upper tray contains a solid precursor. According to ''tH50. According to an embodiment, the film precursor 350 package according to another embodiment, glandular sense The membrane precursor 350 comprises a liquid precursor. For example, the membrane precursor 35 鸭 ^ duck 350 comprises a metal precursor. According to another embodiment 匕 3 solid metal precursor. According to another embodiment, the membrane 18 1278906 = zone 2 350 Containing a metal carbonyl precursor. According to another embodiment, a membrane precursor, a singular precursor, such as we, according to the invention, is a 350® Re2(CO)i 〇 solid powder. 35G may comprise a solid substance. The 111 body precursor may be in the form of a plurality of iiSi iif- or a plurality of solid tablets. For example, by pressing: one or more solid tablets, the process comprises: sintering treatment, punching 'or rotary coating treatment, or any combination thereof. In addition, the solid precursor of the furnace can be / will not stick to the base tray or the above example (6) can vacuum the refractory metal powder during the sintering age And ^ two containing ^ brother vt and 25 sail temperature to be sintered. The sintering treatment can be , w produced:, = softening temperature of the powder; and softened here during the sintering process. Or, for example, the refractory charm metal powder can be dispersed in a liquid medium to be distributed to a coated substrate (such as a tray), and then rotated Coating ί to the entire surface of the coated substrate, and then baking the spin coating, the surface (4), or for example, 'the temperable metal powder is dispersed in a solvent, for example' and a coated substrate Immersing in the liquid tank, coating the substrate, the rod, and the porous plate (such as a mesh hole). Thereafter, the coating can be baked to reduce the remaining solvent. The coated substrate can be the substrate tray 330 and/or the upper tray. 34 〇, or may be a separate substrate, after the solid precursor is prepared thereon, placed in the substrate tray 330 and/or the upper tray 340. As described above, the carrier gas is supplied to the container by a carrier gas supply system (not shown), as shown in Figs. 3 and 6, and can be tightly coupled to the cover 32. The gas supply H does not connect the carrier gas to the vessel 310 through the cover 320. The gas supply line supplies a gas path 380 that extends downwardly through the outer wall 312 of the vessel 31 and through the bottom 314 of the vessel 310 to the annular space 360. Referring again to Figure 3, for example, the inner diameter of the outer wall 312 of the container may range from about 1 〇 cm to about 100 〇 11 and, for example, from about 15 (: 111 to about 40 〇 11. For example, straight inside the outer wall 312 is 19 1278906 2t2 (lcm) For example, the diameter of the outlet 322 and the inner wall 344 of the upper tray 340 are in addition to the hemp, and the scoop 5 CID is about 2 〇 (10). For example, the outlet diameter is 10 cm. The outer diameter and the outer diameter of each tray _ It may be a container 31〇ihn, a diameter of about TM to about "%, and for example a tray diameter may range from about 85% to 99% of the inner diameter of the outer wall 312. For example, the tray is 1975 cm. The base tray 3 has a base outer wall 332 and each upper 11J: height ranges from about 5 mm to about 50, and for example, each bracket & another height of each inner wall 344 ranges from the upper outer wall 34f (10) to about Journey. For example, the height of each inner wall may range from about - to, force 45 mm, and for example, its height is 2 〇. One or more base tray openings 334 and - or multiple lifts and - or a plurality of slits. Or, or a plurality of substrate tray openings - or a plurality of upper tray openings 346 may comprise one or more For example, the diameter of the mother-port can range from about 44 to about 2 coffee. For example, each orifice :: Γ1 in the embodiment - select the diameter of the orifice and the annular space The width of 36〇 is such that the conduction through the peach-shaped void (five) 360 is greater than the net transmission of the orifice to maintain a substantially uniform distribution of the carrier gas flowing out through the annulus. For example, the number can range from about 2 to about 1 〇〇 One aperture, and by way of further example, may be from about 50 to about 100. For example, one or more of the substrate tray openings 3 may comprise (72) apertures of one leg diameter, and one or more The stackable tray openings 346 may comprise (π) apertures having a diameter of one side, wherein the width of the annular space 36 is about 2 65. The membrane precursor evaporation systems 300 and 300 may be used as the map. The membrane precursor evaporation system 50, or the membrane precursor evaporation system 15 of Figure 2. Alternatively, the system 300 or 300' can be used in any deposition system suitable for deposition from precursor vapors onto a substrate. A system for depositing a thin film on a substrate will be described with reference to FIG. 7. 700 shows the step of depositing a film in a deposition system of the present invention. The film sinking & starting at 710, placing the substrate in a deposition system such that the film can be formed on the substrate on 20 1278906. For example, the deposition system can comprise any The above-mentioned Figure 2 and Figure 2: The deposition system may include: a processing chamber for deposition processing; a substrate Ί iii chamber for supporting the substrate. Then, the film precursor is introduced into the film of ΐ = ^ in 72 g The precursor evaporation system. Further, for example, the precursor vapor film can be heated to heat the film precursor to form a film precursor vapor. The 2=zone system can then be transported to the processing chamber via the precursor vapor delivery system. The substrate temperature will be 'decomposed to decompose the film precursor vapor and then exposed to the film precursor vapor at 750. Steps 71 to 750 can be continuously applied. The main number - number 2 deposits the metal film to the desired number of substrates. After the support of the if or the plurality of substrates, it may be periodically supplemented in the middle; :4〇ί 37〇, or one or more substrate trays 330 or upper trays 340 to supplement the film precursors 350 in the mother tray. Level. The embodiment of the library is described in detail, but it is well known in the art that: i domain: or therefore all modifications such as these should be included in the present disclosure [simple description of the drawings], and the deposition system according to an embodiment of the present invention Figure. ‘qif an embodiment of a deposition system according to another embodiment of the invention. A cross-sectional view of a membrane precursor evaporation system of an embodiment of the Ξ 4 system.统中^读咐黄'# Another example of the use of the pattern in the film precursor evaporation system in the embodiment of the film precursor evaporation system port f shows the perspective of the tray in the head. σ She according to another embodiment of the present invention, the film-vapor evaporation, the perspective of the system 21 1278906. Figure 7 shows the operation method of the film precursor evaporation system of the present invention. [Main component symbol description] 1 : deposition system 10 : processing chamber 12 : chamber temperature control system 20 : substrate holder 22 : substrate temperature control system 25 : substrate 30 : Vapor distribution system 32: plenum 33: treatment zone 34: vapor distribution plate 35: distribution plate temperature control system 36: delivery pipe 38: vacuum pumping system 40: gas phase precursor delivery system 42: vapor line temperature control system 50: Membrane Precursor Evaporation System 54: Evaporation Temperature Control System 60 • Carrier Gas Supply System 61: Feed Line 63: Feed Line 80: Control System 100: Deposition System 105: Precursor Delivery System 110: Process Chamber 111: Upper Chamber 22 1278906 112: lower chamber 113: exhaust chamber 114: opening 115: automatic pressure controller 117: collector 116: exhaust line 118: pumping system 119: vacuum pump 120: substrate support 121: chamber temperature control system 122: cylindrical support member 123: plate 124: guide ring 125: substrate 126: heater 127: substrate lift pin 128: substrate support temperature control system 129: drive mechanism 130: vapor distribution system 131: Gas distribution plate 132: vapor distribution plenum 133: treatment zone 134: orifice 135 · opening 136: temperature control element 138: vapor distribution temperature control system 140: gas phase precursor delivery system 141: first valve 142: second Valve 23 1278906 143 vapor line temperature control system 150 membrane precursor evaporation system 154 precursor heater 156 evaporation temperature control system 160 carrier gas supply system 161 gas source 162 control valve 164 filter 165 mass flow controller 166 next to sensor 167 Passing line 168 Bypass valve 180 Controller 190 Dilution gas supply system 191 Gas source 192 Control valve 194 Filter 195 Bulk flow controller 196 Controller 200 Gate valve 202 Cavity inlet and outlet channel 300 Membrane precursor evaporation system 310 Container 312 Outer wall 314 Bottom 318 central flow conduit 320 cover 322 outlet 330 base tray 24 1278906 332: base outer wall 333: base support edge 334: base tray opening 340: stackable upper tray 342: upper outer wall 343: upper support edge 344: inner wall 346: upper Tray opening 350: film precursor 360: annular space 370: tray stack 380 Gas Pipeline 300': System 310': Container 370': Tray Stack 700: Steps of Depositing a Thin Film onto a Substrate in a Deposition System Flowchart 710 · Place the Substrate in a Deposit System 720: Film Precursor Passing deposition system 730: heating the film precursor in a multi-tray evaporation system to evaporate 740: heating the substrate 750: exposing the substrate to the film precursor vapor 760: periodically replacing one of the multi-tray evaporation systems Or multiple tray stacks 25

Claims (1)

1278906 十、申請專利範圍: 統,i含前驅物製傷方法,供使用於固體前驅物蒸發系 形成成及步驟’自-嶋 到該固祕置於待放置 ㈣請專利範圍第1項之固體前驅物製備方法,其中該固 一 Η丨形式之固體前驅物之形成步驟包含下列中的一或多者·· 施行一燒結處理、一衝壓處理、一浸潰處理、一旋轉塗佈處 理或以上的組合。 3·如申請專利範圍第1項之固體前驅物製備方法,其中該固 體錠劑形式之固體前驅物之形成步驟包含: 至少在一真空環境或在一鈍氣環境之一者中施行一燒結處 理。 4·如申請專利範圍第1項之固體前驅物製備方法,其中該固 φ 體錠劑形式之固體前驅物之形成步驟包含: 施行浸潰處理之步驟,其方式係使固體粉末形式之該固體前 驅物溶解至一溶劑槽中及將一塗佈基板浸潰入於該溶劑槽中。 - 5·如申請專利範圍第4項之固體前驅物製備方法,其中該施 _ 行浸潰處理之步驟更包含: 在將該塗佈基板浸潰後,烘烤該塗佈基板。 6·如申請專利範圍第4項之固體前驅物製備方法,其中浸潰 該塗佈基板包含: 26 1278906 浸潰一固體板。 7·如申請專利範圍第4項之固體前驅物製備方法,其中浸潰 該塗佈基板包含: ^ 浸潰一多孔板。 8·如申請專利範圍第4項之固體前驅物製備方法,其中浸潰 該塗佈基板包含: ' 浸潰一篩網。 9·如申請專利範圍第4項之固體前驅物製備方法,其中浸潰 該塗佈基板包含: 、 浸潰一桿。 10·如申請專利範圍第1項之固體前驅物製備方法,其中該 固體旋劑形式之固體前驅物之形成步驟包含: ^1278906 X. Patent application scope: System, i contains precursor damage method for the formation of solid precursor evaporation system and the steps 'from - 嶋 to the solid is placed to be placed (4) the scope of the patent scope 1 The method for preparing a precursor, wherein the step of forming the solid precursor in the solid form comprises one or more of the following: performing a sintering treatment, a stamping treatment, a dipping treatment, a spin coating treatment or the like The combination. 3. The method of preparing a solid precursor according to claim 1, wherein the step of forming the solid precursor in the form of the solid tablet comprises: performing a sintering treatment in at least one of a vacuum environment or one of a light gas environment . 4. The method of preparing a solid precursor according to claim 1, wherein the step of forming the solid precursor in the form of the solid φ body lozenge comprises: performing a step of impregnation in a manner of solids in the form of a solid powder The precursor is dissolved in a solvent bath and a coated substrate is immersed in the solvent bath. The method for preparing a solid precursor according to claim 4, wherein the step of impregnating further comprises: baking the coated substrate after dipping the coated substrate. 6. The method of preparing a solid precursor according to claim 4, wherein the impregnating the coated substrate comprises: 26 1278906 impregnating a solid plate. 7. The method of preparing a solid precursor according to claim 4, wherein the impregnating the coated substrate comprises: ^ impregnating a porous plate. 8. The method of preparing a solid precursor according to claim 4, wherein the impregnating the coated substrate comprises: 'impregnating a sieve. 9. The method of preparing a solid precursor according to claim 4, wherein the impregnating the coated substrate comprises: immersing a rod. 10. The method of preparing a solid precursor according to claim 1, wherein the step of forming the solid precursor in the form of a solid spinning agent comprises: 藉由下述方式而施行一旋轉塗佈處理:使固體粉末形式之嗲 物溶解至—溶劑中,將該溶劑與該固體前驅物分散至二 主佈基板上,且在分散期間或之後旋轉該塗佈基板。 杰二申請專利範圍*1項之固體前驅物製備方法,其十形 成该固體前驅物包含·· 形成一金屬前驅物。 成兮上2㈣申請專利範圍第1項之固體前驅物製備方法,其中形 攻邊固體前驅物包含·· τπ 形成一羰基金屬前驅物。 13·如申請專利範圍第1項之固體前驅物製備方法,其中形 27 1278906 成該固體前驅物包含: 形成 W(C0)6、Mo(C0)6、C〇2(C0)8、Rh4(C0)12、Re2(C0)H)、Cr(C0)6、 Rll3(CO)12 或 OS3(CO)12 0 14. 如申請專利範圍第1項之固體前驅物製備方法,其中該 固體錠劑形式之固體前驅物之形成步驟包含: _ 將該固體前驅物形成於一塗佈基板上;及 > 將該塗佈基板放置到該托盤中。 15. 如申請專利範圍第14項之固體前驅物製備方法,其中將 • 該固體前驅物形成於該塗佈基板上包含: 將該固體前驅物形成於該托盤上。 十一、圖式:A spin coating process is performed by dissolving the solid powder form of the mash in a solvent, dispersing the solvent and the solid precursor onto the two main substrates, and rotating the dispersion during or after the dispersion. Coating the substrate. Jie Er applied for a solid precursor preparation method of the patent scope*1, which forms a solid precursor comprising: forming a metal precursor. The method for preparing a solid precursor according to Item 1 of the above-mentioned patent application, wherein the solid precursor of the shape-attacking contains τπ to form a metal carbonyl precursor. 13. The method of preparing a solid precursor according to claim 1, wherein the shape 27 1278906 comprises the solid precursor comprising: forming W(C0)6, Mo(C0)6, C〇2(C0)8, Rh4( C0)12, Re2(C0)H), Cr(C0)6, Rll3(CO)12 or OS3(CO)12 0 14. The method for preparing a solid precursor according to claim 1, wherein the solid tablet The step of forming the solid precursor of the form comprises: _ forming the solid precursor on a coated substrate; and > placing the coated substrate into the tray. 15. The solid precursor preparation method of claim 14, wherein the solid precursor is formed on the coated substrate comprises: forming the solid precursor on the tray. XI. Schema: 2828
TW94143639A 2004-12-09 2005-12-09 Method for preparing solid precursor tray for use in solid precursor evaporation system TWI278906B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/007,961 US7488512B2 (en) 2004-11-29 2004-12-09 Method for preparing solid precursor tray for use in solid precursor evaporation system

Publications (2)

Publication Number Publication Date
TW200625397A TW200625397A (en) 2006-07-16
TWI278906B true TWI278906B (en) 2007-04-11

Family

ID=38645251

Family Applications (1)

Application Number Title Priority Date Filing Date
TW94143639A TWI278906B (en) 2004-12-09 2005-12-09 Method for preparing solid precursor tray for use in solid precursor evaporation system

Country Status (1)

Country Link
TW (1) TWI278906B (en)

Also Published As

Publication number Publication date
TW200625397A (en) 2006-07-16

Similar Documents

Publication Publication Date Title
TWI300956B (en) Multi-tray film precursor evaporation system and thin film deposition system incorporating same
TWI321162B (en) Low-temperature chemical vapor deposition of low-resistivity ruthenium layers
KR101172931B1 (en) A replaceable film precursor support assembly
TWI313910B (en) Method for forming a barrier/seed layer for copper metallization
TWI357935B (en) Method and integrated system for purifying and del
US7651570B2 (en) Solid precursor vaporization system for use in chemical vapor deposition
US7488512B2 (en) Method for preparing solid precursor tray for use in solid precursor evaporation system
KR101289559B1 (en) Film precursor evaporation system and method of using
TWI360167B (en) Method for integrating a ruthenium layer with bulk
TWI307139B (en) A method for forming a ruthenium metal layer on a patterned substrate
US7708835B2 (en) Film precursor tray for use in a film precursor evaporation system and method of using
TWI326115B (en) Method for controlling the step coverage of a ruthenium layer on a patterned substrate
KR101372793B1 (en) Deposition system, film precursor evaporation system and method of depositing metal layer
US7132128B2 (en) Method and system for depositing material on a substrate using a solid precursor
JP4960720B2 (en) Membrane precursor trays used in membrane precursor evaporation systems and methods of use thereof
TWI257436B (en) Method and vessel for the delivery of precursor materials
US20080202426A1 (en) Ampule tray for and method of precursor surface area
KR20070083871A (en) Method for increasing deposition rates of metal layers from metal-carbonyl precursors
TWI278906B (en) Method for preparing solid precursor tray for use in solid precursor evaporation system
US7566477B2 (en) Method for saturating a carrier gas with precursor vapor
TWI306642B (en) Replaceable precursor tray for use in a multi-tray solid precursor delivery system