TWI305299B - Method, computer program product, and appararus for generating models for simulating the imaging performance of a plurality of exposure tools - Google Patents

Method, computer program product, and appararus for generating models for simulating the imaging performance of a plurality of exposure tools Download PDF

Info

Publication number
TWI305299B
TWI305299B TW094102910A TW94102910A TWI305299B TW I305299 B TWI305299 B TW I305299B TW 094102910 A TW094102910 A TW 094102910A TW 94102910 A TW94102910 A TW 94102910A TW I305299 B TWI305299 B TW I305299B
Authority
TW
Taiwan
Prior art keywords
mode
exposure
exposure tool
program
imaging
Prior art date
Application number
TW094102910A
Other languages
English (en)
Other versions
TW200538890A (en
Inventor
Xuelong Shi
Jang Fung Chen
Original Assignee
Asml Masktools Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Masktools Bv filed Critical Asml Masktools Bv
Publication of TW200538890A publication Critical patent/TW200538890A/zh
Application granted granted Critical
Publication of TWI305299B publication Critical patent/TWI305299B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

1305299 九、發明說明: 【發明所屬之技術領域】 心:明之領域一般係關於一種利用模式來模擬藉由一於 ^㈣所成像的目標料圖案所產生的 ㈣程式,更特定言之,係關於—種使—= 二二:校準之模式進而可用於預測第二曝光工具的成像 I::校準該模…法、裝置與程式產品。 =4置可用於,例如,積體電路(ic)的製造。在此種 ::中’遮罩可包括一相當於IC的各層的電路圖案,並可 其:圖案映射於已塗佈-層對輻射敏感的材料(光阻)的一 晶圓)上面的目標部分(如包括-或多個晶粒)一般 2 -,早-晶圓可包括衆多相鄰目標部分所構成之網路, ,、將依次由投影系統逐個照射。在—種微影投影裝置中, 可用一趟動作將整個遮草圖案曝露於目標部分上,讓每一 目標部分都照射到,此«置一般稱為晶圓步進機。於替 ,裝置中(通常為步進及掃描裝置),則係在給定參考方向 (「掃描」方向)中於該投影光束下漸進地掃描該遮罩圖案以 照射每個目標部分,同時以平行或反向平行此方向的方式 ^步地掃描該基板台。-般來說,因為該投影系統會具有 :放大倍數Μ(通常 <丨),所以該基板台被掃描的速度v便會 疋该遮罩台被掃描的祕。有關上述微影元件的進一步資 訊可於,例如,US Μ46,792中見到,該文以引用方式倂入 本文。 99310.d〇, 1305299 在利用微影投影裝置的製造方法中,一遮罩圖案係映射 在至少部分由一層對輻射敏感材料(光阻)塗佈的基板上。在 此成像步驟之前,基板可經各種程序處理,例如打底、光 阻塗佈及軟烘。在曝光之後,該基板便會再經過其它的處 理程序,例如曝光後烘烤(PEB)、顯影、硬烘以及已成像特 徵的測量與檢查m的程序係用來作為—基礎,以 圖案化一元件(如1C)的個別層。接著,此一圖案化層可再經 過各種處理,例如韻刻、離子植人(摻雜)、金屬電鍍、氧化、 化學機械拋光等’所有步驟皆為個別層表面處理所需。如 果需要許多層’則整個程序,或其一變化步驟必須在每層 中重複。最後,在基板(晶圓)上將呈現—系列的元件。接著, 將會利用—種諸如切割或鑛切的技術分割此等元件,之後 可將個別元件裝載於载架上、連接至接針等。 為簡化起見,以下稱投影系統為「透鏡」;不過,此術纽 必須作廣義的解釋以包括各種投影系统,例如,包含折射 光學系統、反射光學系統及反折射系統。該輻射系統亦包 括可根據任何此等設計類型來操作的組件,用於導向、整 形或是控制該投影光束,此等組件在下文中亦稱為,或: 稱為「透鏡」。另外,此微影裝置可能是一種具有兩個或兩 :固以上基板台(及’或兩個或兩個以上遮罩台)的形式。在此 等「多級」元件中會同時利用該等額外的工作自,或是在 -個或-個以上工作台之上進行製備步驟,同時利用一個 或一個以上工作台來進杆瞧也 仃曝先。例如,US 5,969,441中說明 雙級微影裝置,此處以引用方式倂入本文中。 99310.doc 1305299 上述微影遮罩包括相當於積合在♦晶圓上的電路組件的 幾何圖案。利用CAD(電腦辅助設計)程絲產生用於形成此 類遮罩的圖案,此程序通常稱為EDA(電子設計自動化大 夕數CAD程式遵循-組預定的設計規則以形成功能遮罩。 此等規則包括處理及設計限制。例如,設計規則定義電路 元件(如閘極、電容器等)之間的空間公差或互連線,以確保 電路元件或線之間不會發生不良的相互作用。設計規則限 制一般稱為「臨界尺寸 u^ 1八丁」(LD)。可將電路的臨界尺寸定義 為線或孔的最小窗;f+ y欠&丄、 見 次兩條線或兩個孔之間的最小空 間。如此,CD決定設計電路的總尺寸及密度。 工 當然’積體電路製造的-個目標為可靠地在晶圓上複製 (經遮罩)原來的電路設計。衆所皆知,可將光學近接校正 (〇叫特徵包含於鮮設計巾,以增強㈣生的影像,使得 杨表示目標㈣。此外,利精需程序之模式來 二V给疋目標圖案之空中影像之做法亦係已知的。此類 =使知作者可評估遮罩特徵與OPC特徵之調整對所產生 之影像的影響,而不必將一 θ 不謂曰曰圓貫際成像,從而在設計程 汁甲即啗大s成本與時間。右— 士 有種化樣的楔擬方法係說明 ;、國申知案第1〇/981,750號中,哕索_ & & 曰”1用的方式全文併入二案申睛於2°。4年11月5 案:::中的另一目標係能夠將相同的用於成像一給定圖 麵夫曰'」用於不同的微影系統(例如掃描器),而不必消 間和資源來決定每-系統之必要的設定,從: 達成取可接受的成像性能。衆所皆知,當最初設定一 993 丨 0.doc 1305299 給定的程序與特定掃描器配合運用時,設計者/工程師耗用 大量的時間與資金來決定微影系統的最佳設定,其包括數 , 值孔徑(ΝΑ)、σίη、σ_等,以使所產生的影像滿足設計要 求與程序穩定性要求。事實上,從工程設計直至模擬與實 驗,為每一層找到最佳的微影程序條件需要付出巨大的努 力。一種用於使給定程序可用於不同微影系統的方法係揭 示於美國專利申請案第10/926,400號中,該申請案申請於 _ 2004年8月26日,其係以引用方式全文倂入本文中。 如上所述,一般係利用微影程序之校準模式來對目標圖 案執行模擬程序,以使設計者可最佳化遮罩圖案,以使所 產生的圖案可在定義好的容限内與目標圖案匹配。此類資 料刼控中所用的模式,通常稱為模式〇pc,一般係在特定 的曝光條件下於特定的曝光工具上加以校準。然而,如上
【發明内容】 本發明之一 之本發明係關於一種方法與裝置 具上校準的模式可用於產生第二模 ϋ前技術中的不足。概言 置,其使一於第一曝光工 二模式來模擬第二曝光工具 99310.doc 1305299 第二曝光卫具來執行第二模式的 的成像性能,而不必利用 校準程序。
更明確言之,本發明係關於—種用於產生若干模式來模 擬複數個曝光工具之成像性能之方法。該方法包括舟 驟··產生一用於第一曝光工具的校準模式,其能夠估計二 欲精由用於-給定微影程序之該第—曝光工具產生的影 像,其中該校準模式包括—第一組基本函數;產生第二曝 光工具之一模式,其能夠估計一欲藉由用於該給定微影程 序之該第二曝光玉具產生的影像,其中該模式包括一第二 組基本函數;以及將該第二組基本函數表示為.該第一組: 本函數的線性組合以產生對應於該第二曝光工具的—等效 模式函數,其巾該等效模式函數產生-模《彡像,其對應 於由用於該給定微影程序之該第二曝光工具所產生之 像。 〜 /本發明提供優於先前技術之方㈣重大優點。更重要的 係,本發明的成本效率非常高,因其使先前校準的模式可 結合其他曝光工具而利用,而不必於其他曝光工具上執行 校準程序。 本發明的另一優點係,藉由將已修改的校準模式用於其 他曝光工具,可執行整個晶片的測試/模擬,而不僅僅係有 限的測試’如果對其他曝光工具執行直接的實驗測試,便 是有限的測試。 热習此項技術者參考下列具體實施例的詳細說明將會明 白本發明的額外優點。 993I0.dc 1305299 雖然本文所提供是利用本發明製造IC的特定參考例子, 但必須明白本發明具有許多其他的應用。舉例來說,可運 用於製造整合光學系統、磁域記憶體之導引及㈣圖案、 液晶顯示器面板、薄膜磁頭等。熟習此項技術者將會瞭解 到在此等替代應用内文中所用任何術語「主光罩」、「晶圓」 或「晶粒」都應該視為可分別由更通用的術語「遮罩」Μ「基 板」及「目標部分」來取代。
本文中所用的術語「輻射」以及「光束」涵蓋所有種類 的的電磁輻射,其包括紫外線輻射(例如波長365、248、 193、157或是126 nm)以及遠紫外線(EUV)輻以例如波長範 圍 5-20 nm)。 本文所用術語「遮罩」應廣義解釋為可用以賦予進入之 輻射光束-圖案化斷面的一般圖她牛,該案化之斷面 係對應於需形成於基板目標部分的—圖案;本文中亦可使 用術語「光閥」。除典型的遮罩(透射式或反射式;二元式、 相移、混合等),其他此類圖案化構件的範例包括: • 一 — 可程序化鏡面陣列。&類元件的-範例為一矩陣可 定址表面,其具有-黏彈性控制層及一反射表面。該種裝 置的基本原理為,(例如)反射表面的已定址區域反射入射^ 為衍射光,而未定址區域則反射入射光為非衍射光。利用 適當濾、鏡可自反射光束中篩檢出該非衍射光,僅擋下衍射 光,如此光束即依矩陣可定址表面的定址圖案成為圖宰 化。可利用適當的電子構件,以執行所需的矩陣定址。如 需此種鏡面陣列更多詳細資訊,可參閱美國專利 993l0.doc -10- 1305299 US5,296,891與US5,523,193,此處以引用方式將其倂入本文 中。 •一可程式化液晶顯示器(LCD)陣列。此種構造的實例可 於美國專利5,229,872中找到,此處以引用方式倂入本文。 本發明it同其A目的及優點在參考下列詳細說明及附圖 後會更加明白。 【實施方式】
本文所揭示者係一種用於使一#合第一冑光工具而校準 並能夠模擬第i光X具之成像性能的模式可用於產生一 能夠模擬第二曝光工具之成像性能之第m而不必執行 第二模式與第二曝光工具之校準的方法與裝置。應注意, 以下所詳述的示範性方法利用固有函數分解模式消_ 有值分解模式或EDM),用於模擬成像程序的性能。然而, 亦可將本發明的方法用於其它類型的模式。 在論述本發明之方法之前,將簡要論述固有值分解模式 之產生。有關固有值分解模式之產生的更詳細說明 如上所述於·日所中請的 ㈣ 10/981,750號。 T明案弟 β /¾的乾例中,利 定臨界固有值分解模式。一恆定 』用β ,7 w 界固有值分解模式俜r 數個基本較理為前提。第-個係,任何2D_ 1 ^ 藉由在恒定的臨界值切割有限頻寬一“象均? 十t ^ 見的實數值函數而獲楫。 τ和彳冢係有限頻寶 此,空中影像之有效的自由声 見 由度係有限的。第三個係 弟二個係,來自光學影像系統的 、 數,因 空………·“象係有限頻心 99310.doc 11 1305299 用於η兄明空中影像的最 -積分方程式來求解,节… ㈣,其可利用 像系統設定予以決定。積刀方程式的運算元係由光學影 李ίΙΓΓ製造中f用的部分同調照明條件下,光學成像 ::身:r線性的特徵。來自任何給定遮罩圖= 中=,即影像平㈣光強度分佈,可湘 公式來容易地並且相杳 τ…夫的 _WRGy 加以計算,例如參見Η』. 〇C.,A,217 (1953),408,其以引用方 式倂入本文中。經化學 以W用方 ^ 予放大的光阻對光強度的回應以乃晬 後光阻在溶劑中的顯旦彡 ‘以及隨 財的顯衫皆具有报強的非線 最後顯影的圖案看作二开p如 』將日日圓上 或不具有光阻晶圓基板的頂部具有光阻 μ拉式的主要功能係要提供—數 ”可根據遮罩圖案或空中影像而精確地預 : 影像。從數學上而言, 日U上的—兀 T(x,y)=F (M(x,y)) ⑴ I二ΓΓ晶圓上所產生的二元影像,並且M(x,y)係遮罩 =二圖案(其可包括0Pc特徵)。Μ示未知的函數形式 具係任何模式的核 間早的恆定臨界值模式中, 猎由利用值定的臨界值來切#JM(x,y 元影像。應注意,由於光阻對比产的…“象而獲付-蚱km, 度的有限性,藉由在恆定 =處π中影像所獲得之二元影像-般不完全與實 ::二:。然而’利用值定臨界值來獲得二元影像的簡 便1·生係画有吸引力的。存在一數學定理,其規定任何二進 制函數T(x,y)(其值為0或可藉由將有限頻寬連續函數 99310.doc 1305299 FU,y)限妹何指定的精確度而獲得。所f要㈣#越古 ’則所需要的函數F(x,y)之㈣越大。纟本發明之模二/ 將此一連續函數F(x,y)稱為系統偽強度函數(SPIF)。 換言之,在固有值分解模式中,目的係要識別將空中影 像與另-有限頻寬非負實數值函數相關聯的轉換函數,: 此可藉由將非負實數值函數限於特定的值而獲得所需的二
元影像。將新的有限頻寬非負實數值函數稱為系統偽強: 函數(SPIF)。 又 從空中影像I(x,y)(其容易計算)至spiF的轉換構成該模式 中的-關鍵要素。位置(x,y)處的spiF值不僅取決於㈣處 之空中影像強度的值’而絲決於點(x,y)周圍空中影像強 度之所有值。數學上,可將SPIF表達為: SPIF(x,y)=G (I(x,y)) ⑺。 由於微影程序的複雜性’不可能根據第一實用原理來推 導出G的確㈣數形式。因此,利用G的近似函數形式。根 據Shanon取樣定理,此點僅在空中影像i(x,y)具有有限的有 效自由度時才會成立,因為空中影像I(x,y)係—有限頻寬函 數’如C.E. Sh_on,Proc. IRE,37,(1946),429 中所述,其 係以引用方式併入本文中。 此轉換並非點對點轉換。換言之,如上所述,(X,y)處的 SPIF值不僅取決於(x,y)處的空中影像值,而且取決於㈣ 周圍空中影像的所有值。顯然,需要-種說明(x,y)周圍之 工中'5V像強度刀布之方法。可看&,由於空中影像係有限 頻寬函數’根據ShannGn取樣定理,空中影像的有效自由度 993I0.doc 13 1305299 係有限的’如 C.E.Shannt)n,Pn)e.IRE,37,(i946),429 中所 述,其係以引用方式倂入本文中。 亦已也明’存在—組最佳的基本函數,用於在特定影像 ,定下分解從光學成像系統產生的空中影像。換言之,可 藉由㈣罩函數M(x’y)與固有函數捲積而計算空中影像。 更特定言之’ μ用—組正交函數{〜},可利用以下等式來 計算空中影像:
Kiy):客。^諸丨2 ( 其中⑷構成一組完整的正交函數,w係對應的加權因數 ,®表示正交函數Ο]與遮罩傳輸函數訄之間的捲積運算。 用成像理論的話來說,等式(3)顯示,可㈣分同調成像系 統分解成U同調成像线其他方法可將部分 同調的成像系統分解成—系列同調成像系統,❻已證明上 述方法係最佳的方法,m常稱為最佳同調分解。例如,參 見 Y· c. Pati與 T. Kailath,J 〇pt s〇c Am A u, (1994), 2438 ’其係以引用方式倂入本文中。 f下來,可藉由求解以下積分方程式而獲得與{⑴}, 其中r(X2,-Xl,,3ν_γι,)係(Χι,,71,)與(叉2’,乃,)在物件平面的 相互同調’其係由照明決定,K(Xi,,yi,)係光學成像系統的 脈衝回應函數,其係由光學系統的光瞳函數決定。更明確 °之由於單元振幅的干擾以及物件平面中(〇,〇)的零相位 99310.doc •14· 1305299 ’影像平面中點(Xl,,yi,)處係複數振幅。 根據半導體製造中常用的照明條件,/ 1 ^ ^ 1禾彳千,Ui}隨其指數快速 下降(即a^wDN·..,並且通常當左右時,Μ 非常小,接近於零),並且僅需少數幾項即可精確地近似空 中影像。在存在雜訊的情況下可忽略其他項的作用,而在 實際光學成像程序中-般存在雜訊。馨於此觀察結果,可 假定僅前Ν項係重要的,並且等式(3)變為:
1〇’ y)=客丨2 ⑹ 若定義: ^ί=α{\φ.®Μ^ (7) 於是,顯然易見,(x,y)處的SPIF值必須僅取決於s、
、、1 ^ 2 · · I 、sN的值,及等式(丨)變為: SPIF(x,y)=G(S1, S2, ..., SN) (8) 利用連續展開,可得到: SPIF(x,y)=G(0;〇,...,〇)+2M+zS^ + ... ⑼ f;i ι=ι M \y/ 如果所有的Si項為零(i=1、2、…、N),則SPIF應為零,因 此G(0,0,…,〇)應為零。更明確言之,當所有的s項等於零時 ,根據(9),SPIF=G(0,〇,〇,·,·)。然而,僅當遮罩係完全黑暗 時,所有的S項才會等於零。在此種情形下,卯汀明顯等於 零。因此,可根據等式(9)來獲得等式(10)。等式(1〇)表示 SPIN(x,y)如何與(x,y)處的信號si相關。 SPIF(x, Υ)=ΣΜ+ΣΣ^^··· (10) Μ Μ μ {凡}與“ij}係將光阻對信號{Sl、S2、.·.、Sn}的回應特徵 99310.doc -15- 1305299 化的模式參數。應瞭解,⑹與{7?ij}係獨立於光學成像設 定,因為此等參數僅取決於曝光之後的程序。因此,可= 由以實驗資料校準模式等式⑽而容易地獲得⑷與⑹。 利用上述恆定臨界固有值分解模式,可發展出能夠J測 除用於校準該模式之曝光卫具以外的曝光卫具之微影性能 的方法。 更明確言之,假定用於校準該模式的曝光工具係曝光工 具A’/且用於曝光卫具a的該組最佳基本函數係⑷。而 假疋曝光工具B的最佳組基本函數。由於照明器外形 的輕微差異,或兩個曝光卫具之間像差特徵的—定差異, 該組基本函數可能不同於該組基本函數{<}。然而, 由於U }與(〇皆為完整的基本函數組,並且都具有相同的 頻寬’故可將⑷中的每一函數表示為{<}的線性組合。 更明確言之: ί=1 其中: (12) 數’僅{<}中前Μ個函數與 。因此,僅需考慮從{SBn, =1、2、…、N}上的信號的 χηι~\\φΙ *^dxdy 在典型的應用中’根據加權因 (<)中的前N個函數係有意義的 n=l、2、…、M}投射到,i: 振幅。更明確言之: 993l0.doc -16- (13) 1305299 S\ =^a\ I φ\ ΘΜΧΣζΛ^Α> ®A/)'
i-1 M βαί·Σΐ^ ® A/|2 ®^)(Φλ.^Μ)* a · Μ 專式(13)中的第二項消失,此係由於當i 時,(#ο从)與 (β®Μ)的場之間缺少相位相關,因此時間平均值變為零。 根據#等5式(13) ’在{<}之表示中投射的信號係: 農刺2及;i=l、2、...、Ν (14)
等效SPIF為: SP1FiX'y)^t^X^ (15) 利用相同的臨界值,可從等式(丨5)中所表示的SpiF容易地獲 得來自曝光工具B之二元影像。 圖1係說明利用一針對第一曝光工具而校準的模式來預 測另一曝光工具之成像性能的前述方法之流程圖。參考圖 1,在該私序的第一步驟,即步驟1〇中,定義欲利用的微影 程序。接下來,在步驟12中,產生一組定義第一曝光工具(即 曝光工具A)與微影程序之核心(即該模式)。在該給定的具 體實施射’如上所述’利龍有值分解模式。 然後,在步驟14中,利用用於產生該組核心的曝光工具a 與微影程序來對複數個職結構執行實際的成像程序。在 步驟16中’校準該模式。此可藉由將測試結構輸入至該模 式亚將板式的結果與步帮14所產生的實際成像結果進行比 ㈣完成。然後調整該模式’直至該模式所產生的成像結 99310.doc 1305299 果在一預定誤差容限内與實際成像結果相符。熟習此項技 術者會瞭解,預定的誤差容限將根據特定應用以及所用的 微影工具而變化。一旦將模式調諧成位於預定義的誤差容 限内,便可將該模式視為已校準過的。 接下來’在步驟18中,產生一組定義第二曝光工具(即曝 光工具B)與微影程序之核心(即第二模式)。應注意,當產生 定義曝光工具B的核心時,需考慮照明器外形以及曝光工具 B的像差,因為此等係關於兩曝光工具之間性能變化的主要 因數。不過’當然也可考慮其他因數,例如,但不限於聚 焦設定。應注意,可測量與曝光工具B相關聯的照明器與像 差以便在產生與曝光工具B相關聯的該組核心之前決定此 等因數的值。此可藉由,例如,在掃描器/步進器上利用度 置工具而完成。 在下一步驟,即步驟S20中,將在步驟S18中所產生的用 於曝光工具B的該組核心表示為用於曝光工具A的該組核 心之㈣組合,並絲據等式14與15來產生等效的咖函 數。換言之’來自曝光工具㈣空中影像可利用其自身的特 徵核心來表示’然而,光阻對此類信號表示之回應未知。 僅當在曝光工具A之特徵核心中表示信號時才會知道光阻 回應,因為已針對曝光工具八執行程序或模式校準。正因為 此原因,來自曝光工具B的信號需要轉換為在曝光工具A之 核心中所表示的信號。接下來,在 丄^ 隹步驟S22中,可利用步驟 S20中所產生的SPIF函數來產生與 興將由曝先工具β所產生的 成像結果相對應的二元影像 J用曝先工具Β來對遮罩 99310-doc -18- 1305299 圖案成像的話)。 口口此纟發明使—結合第一曝光工具而校準的第一模式 T用於產生第二模式’以模擬第二曝光工具的成像性能, 而不必對第二模式執行校準程序。 除了能夠對未以上述方式加以校準的曝光工具作出微影 印刷性能預測之外’本發明亦提供—種使用來執行相同微 影程序的不同曝光工具之間失配最小化的方法。曝光工具 之間失配的取小化已成為mkl微影中日益嚴重而轉解決 的門題纟其係對於需要利用已校準的微影模式來進行遮 軍資料校正的技術而言。曝光工具之間失配的最小化可降 低遮罩的有效成本並大幅增加生產力。應注意,曝光工具 失配主要產生於不同曝光工具之間照明器外形與像差變 化。只要可診斷並決;^曝光卫具性能變化的主要根源,例 如像差’本發明便可提供一種用於減少此類變化的方法。
圖2說明根據本發明最小化用於實施相同程序之曝光工 ”之間失配的示範性程序。以下範例假定有一相同類別之 曝光工具之群集{A、B、c、’並且曝光工具Α係主要的 工具,已於其上校準一特定的微影程序並且顯影一微影模 式。第一步驟S40係要識別主要工具,在此範例中,其係曝 光工具A。下一步驟S42係要測量曝光工具A的主要因數, 其導致該等工具之間成像性能的變化。此等因數包括但不 限於照明器外形與像差。然後,在步驟S44中,產生一組定 義曝光工具A與微影程序之核心(即該模式)。在該給定的具 體實施例中,如上所述,利用固有值分解模式。在步驟s46 99310.doc -19- 1305299 中’利用曝光工具A與選定的微影程序對複數個測試結構執 行實際的成像程序’以獲得實際的成像結果。接著,在步 驟S48中,將在步驟S44中所產生之模式所產生之成像結果 與步驟S46中所產生的實際成像結果相比較,並利用比較結 杲來產生-貪料庫’其指示曝光工具A的模式性能與曝光工 具A的實際性能之間的差異。接著,藉由調整參數,例如等 式(9)中的{/?Ai},可使模擬結果與實驗之間的誤差最小化。 此步驟,即#驟48,基本上係、曝光工具A的模式校準程序。 女下所述在預測其他曝光工具的成像性能時,將僅利 用由義的模式。應注意,來自曝光工具a的實驗 結果僅用於校準曝光的模式。在校準曝光卫具八的模 式之後’不再需要來自曝光工具A的實驗結果。應注意,該 程序的此部分類似於以上結合圖丨所述者。 下一步驟,即步驟S50,係要選擇其他曝光工具之一,例 如曝光工具B,並且在步驟52針對曝光工具b測量在步驟 S42中針對曝光工具續測量的相同主要因數。然後,按照 與步驟S44相同的方式,在步驟54中產生—組定義曝光工具 B /、微衫紅序之核心(即該模式)。在該給定的具體實施例 中,如上所述,利用固有值分解模式。. 接下來,返回至步驟S44,將步驟54中所產生的曝光工具 B的該組核心表示為曝光卫具人之該組核心之線性組合。然 後,返回至步驟48 ,針對任何結構利用等式15之81}11;1函數 而决疋曝光工具B的成像性能,包括但不限於步驟S46中所 用的測試結構,以產生實際的成像結果。 99310.doc -20- 1305299 一旦決定曝光工具B的成像結果,便在步驟“中,將此等 結果與曝光工具A之模式所產生的成像結果相比較,以決定 曝光工具之間的成像性能差異。如果在步驟58中決定曝光 工具A與曝光工具B之成像性能之間的差異(即失配)係位於 一預定的誤差容限内,則在步驟6〇中完成該程序,並且認 為曝光工具B能夠成像所需的目標遮罩圖案。 然而,如果成像結果的差異不在預定義的誤差容限内, 則該程序進行至步驟62,在該步驟中,對曝光工且進行調 整,以最小化曝光工具轉主要曝光工具A之間的成像性能 差異或失配。例如’工程師或操作者可調整機器上的光學 元件,以修改照明器外形或像差特徵。一旦調整完畢,便 重複步驟 S52、S54、S44、《Ms 〇〇 8、S56與S58,以確認經調整 的曝光工具係在預定義的誤差容限内。 圖3與4更詳細地說明圖1中所提及的模式校準程序。參考 :3與4’在步驟S1〇〇中’將包含遮罩圖案之特徵的輸入2 提供給—光學成像模式4。在步驟⑽中,根據欲利用的照 2料像程序的特徵(例如,包括數值孔徑NA與波長λ), ’、疋表不成像程序的固有函數與固有值。在步驟議 二,利用測試遮罩(即測試結構)的特徵來決定遮罩函數 M(x,y) ’其係作為輪 翰楗供。在步驟S105中,藉由將固有 u數與遮罩函數 y)捲積來決定空中影像。可將指示光 阻如響6的一階固有 阻對實際空中疋空中影像’以說明特定光 定臨展枯 ^所造成的影響。在步驟S106將預定的怪 疋^界值應用於空中 v像以產生具有預測輪廓之初始 99310.doc -21 - 1305299 SPIF。在步驟S11 〇中,蔣箱屯丨 斗 、'、的輪廓與已知的測試遮罩輪 廓相比較,精由利用相同的昭 …、月條件與程序來實際印刷測 忒遮罩衫像而決定該已知的 亡y 式遮罩輪廓。如果預測的輪 廓係在所測量輪廓的預定誤 「3 、差谷限之内,即步驟S112為 疋」(應注意,在較佳的具體實施例中,在比較程序中利 用2維輪廓)’則證明預測模式為正確的模式,並且在步驟 S114中,模式校準即告完虑。 兀成如果預測的輪廓不在預定的 誤差容限之内,即步細12為「否」,則在步驟川6中調整 與每-固有函數相關聯的每—項之加權(其定義成像程 序)’並產生一新的SPIF 〇垃:ju v. 接者,在步驟S108中將恆定的臨 界值應用於新驗IF,並且重複步驟讓至ιΐ6中的程序, 直至所產生龍式提供預定誤差容限㈣輪廊。 圖5說明用於實施圖1至4中所述之固有值分解模式之示 範性處理系統。如圖5中所述,—示範性的遮罩最佳化單元 可包含-處理器1GGG ’其從-輸人刪接收輸人。處理器 1〇〇〇可能為傳統的微處理器,或可能係經專門設計的處理 單元,例如EEPROM或EPR〇M或製成的積體電路。輸入刪 可為任何類型的電子輸入元件,例如鍵盤或滑鼠,或可能 為記憶體或内部連接。處理器1〇〇〇較佳係&r〇m 1〇〇2與 RAM 1001擷取所儲存的協定,例如用於實施圖丨至*所述處 理之協定,並將資訊儲存於RAM 1〇〇1上。可將處理器1〇〇〇 的計算結果顯示於顯示器1004上,並且可將其提供至遮罩 製造單元。 圖6示意性說明適用於借助本發明設計之遮罩的微影投 99310.doc -22- 1305299 影裝置。該裝置包括: 一輻射系統Ex、IL,用於供應—輻射投影光束pB。在 - 此特定狀況下,該輻射系統也包括一輻射源LA; , 、_ 一第一物件台(遮罩台)MT,具有一遮罩支架用於固持 遮罩MA(如-主光罩),並連接第一定位構件以便根據項目 PL精確地定位遮罩; -一第二物件台(基板台)WT,其具有基板支架用於固持 • 一基板W(如,塗佈光阻的矽晶圓),及連接至第二定位構件 用於相對項目PL精確地定位基板;及 :_ 一投影系統(「透鏡」)PL(例如折射、反射或反折射光 學系統)’用於將該遮罩MA的一照射部分成像在該基板w 的一目標部分C上(例如包含一或多個晶粒)。 如此處所描述,該裝置屬一透射型式(即具有一透射遮 罩)。然而,一般而言,它亦可屬一(例如)反射型式(具有一 反射遮罩)。或者,該裝置可採用另一種圖案化構件以代用 Φ 遮罩,其範例包括可程式化鏡面陣列或LCD矩陣。 該輻射源L A (如一水銀燈或同核複合分子雷射)會產生一 輻射光束。此光束會直接或在穿過調整構件(諸如光束擴張 器Ex)之後被饋送至一照明系統(照明器)IL之中。照明器江 W包括調整構件AM,用以設定光東中強度分布之外後向 範圍及/或内徑向範圍(通常分別稱為〇外徑及σ内徑另 外,一般包括其他組件,如積分器ΙΝ及聚光器〇(^如此, 照射在遮罩ΜΑ上的光束ρβ具有所需的均句度及斷面強度 分布。 99310.doc -23- 1305299 於圖6中應注意的是’該輻射源la可能位於該微影投影 裝置的外殼内(舉例來說,該輻射源LA係水銀燈泡時,便經 常係此種情況),但是亦可以與該微影投影裝置相隔—段距 離,其所產生的輻射光束則會被導入至該裝置中(例如借助 於適當的導向鏡),當輻射源LA係同核複合分子雷射時則通 常會是後面的情況。本發明包含至少此等二狀況。 該光束PB後續截斷該遮罩MA,其係固持在一遮罩台Μτ
上。在行經該遮罩ΜΑ之後,該光束?3傳送通過該透鏡p]L, 其聚焦該光束PB在該基板w的一目標部分c上。藉助該第二 定位構件(及干涉量測構件IF),該基板台WT可準確的移 動,例如藉以定位不同的目標部分c在該光束叩的路徑 同樣地’可用第位構件以相關於光束叩路捏精確 定位遮罩MA’例如,自遮罩庫機械性地取出遮罩ma之後, 或在掃描當中。一般而言,物件台Μτ、资之移動可在一 長㈣模組(粗略定位)與—短行程模組㈣ 下貫現’其等並未明白顯示於圖6中。然而,在一晶圓步進 器之情況下(與步進掃描工具相反),遮罩台阶可只連接至 一短行程驅動器,或係固定。 上述工具可用於兩種不同模式中: 進模式中’該遮罩台町基本上保持靜止,而整個
: 次投射即,-單次「快閃…目標部分C 上U後該基板台WT即沿\及/或7方向移 ΡΒ可以照射不同的目標部分C ; -於掃描模式中,基本上具有相同的情境,但是卻不會 99310.doc •24- 1305299 於早次F快閃」下曝光—給定的目標部分c。而是,遮罩台 MT可在-給定方向(所謂的「掃財向」,例如乂方向)以一 . 速度多動’使投影光束叩掃描通過-遮罩影像,同時基板 . 台资則與之同向或反向以速度V=Mv移動,其中M為透鏡 PL的放大倍率(如制/4或1/5)。如此,可曝光—相對較大 區域的目標部分C而不需犧牲解析度。 本文中所揭示的概念可能模擬或在數學上模擬任何用於 • 對次波長特徵進行成像的一般成像系統,並且對於能夠產 生尺寸不斷縮小之波長的新興成像技術尤其有用。已在利 用的新興技術包括EUV(遠紫外線)微影術,其能夠利用⑽ 台射產生193 nm波長,甚至能利用Fiu〇rine雷射產生157 波長。且,EUV微影術能夠利用同步加速器或藉由以高 能電子碰撞一材料(固體或電漿)以產生2〇_5 nm範圍内的光 子而產生此範圍内的波長。由於大多數材料在此範圍中具 有吸收性,故可藉由具有鉬與矽之多堆疊的反射鏡來產生 • 受度。多堆疊鏡具有4〇個鉬與矽的層對,其中各層之厚度 係四分之一波長。可以X射線微影術產生甚至更小的波長。 一般而言,可利用同步加速器來產生一又射線波長。由於大 夕數材料在X射線波長具有吸收性,故一薄片吸收材料定義 何處要印刷特徵(正光阻)或何處不印刷特徵(負光阻)。 雖然本文所揭示的概念可用於在諸如矽晶圓之類的基板 上成像,但應瞭解,所揭示的概念可用於任何類型的微影 成像系統,例如,用於在非矽晶圓的基板上成像之微影成 像糸統。 993l0.doc -25- 1305299 理器1000的軟體功能 係用於實Up 括%式化,其含有可執行碼, 體同微料、統之最佳細之方法。軟 J藉由通用電腦來執行。在操作中 在:::::聯的資料記錄— 入適心用可將軟體儲存在其他的位置及/或經傳輪而載 少一=通用電腦系統。因此,上述具體實施例包含以至 機取媒體所攜帶的—❹㈣模 或多個軟體產品。藉 巧办式之一 碼,可使” A太: 來執行此類程式 中所執行之二2 文所論述與圖示的具體實施例 之方式來實施目錄及/或軟體下載功能。 語諸如電腦或機11「可讀取媒體」之類的術 媒二與將指令提供給處理器以供執行之媒體。此-發地了知取許多的形式,包括但不限於非揮發性媒體、揮 务性媒體與傳輸媒體。非揮發性媒體包括,例如 例如作為-伺服器平台操作的任何電腦中之任= 70件揮發性媒體包括動態記憶體,例如此_電腦平台 之主要記憶體。實體傳輸媒體包括同軸電纜;銅導線心 =,其包括於電腦系統中含有匯流排之導線。載波傳輸媒 可才木取電氣或電磁信號或聲波或光波的形4,例如射頰 T與紅外線⑽資料通㈣間所產生者4腦可讀取媒體 :系見形式因此包括’例如,軟碟、軟性磁碟、硬碟、磁 贡、任何其他磁性媒體、CD_R0M、DVD、任何其他光學 媒體、不太常用的媒體’例如打孔卡、紙帶、任何其他具 有孔圖案之實體媒體、RAM、PR0M與EPR0M、 99310.doc -26- 1305299 flash撕0M、任何 或指令、電嶝己隐體日曰片或匣、載波傳輸資料 程式物=傳輪’例如載波,或電腦可從中讀取 Γ 料之任何其他媒體。許多此等形式之電腦可 碩取媒體可用於攜載特式之電可 器以供執行。 /多則日令之-或多個序列給處理 上=注二本發明之前述具體實施例亦有可能變化。如
=解=上揭示的具體實施例說明本發明係結合固 有值刀解模式來利用曰 ^ 一,、可用於其他類型的模式模擬器。 雖然對本發明進行了詳 、,的5尤明及描述,應容易理解, 此僅係進行說明及舉例而非 F用以限制,本發明的範圍僅係 晰附之申請專利範圍中的條款來限制。 【圖式簡單說明】 倂入本說明書中並形成本說明書之一部分的附圖連同以 上祝明一起用來說明本發明的原理。在該等圖式中: 圖1係說明本發明之方法的示範性流程圖。 圖2說明使用以實施相同微影程序之曝^具之間的失 配最小化之示範性程序。 圖3說月示範性固有值分解模式(例如—階固有值分解 模式)。 圖4說明用於產生校準固有值分解模式之示範性程序。 圖5說明用於實施本發明之示範性處理系統。 圖6不思性3兒明適用於借助本發明設計之遮罩的微影投 影裝置。 【主要元件符號說明】 99310.doc •27· 1305299
2 輸入 4 光學成像模式 6 光阻影響 8 輸出 1000 處理器 1001 RAM 1002 ROM 1003 輸入 1004 顯示器 AM 調整構件 C 目標部分 CO 聚光器 Ex、IL 輕射糸統 IF 干涉量測裝置 IN 積分器 LA 輻射源 MA 遮罩 MT 第一物件台(遮罩台) PB 輻射投影光束 PL 投影系統 W 基板 WT 第二物件台(基板台) S10 步驟 S12 步驟 S14 步驟 S16 步驟 99310.doc -28- 1305299
S18 S20 S22 S40 S42 S44 S46 S48 S50 S52 S54 S56 S58 S60 S62 S100 S102 5104 5105 5106 S108 S110 S112 S114 S116 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 步驟 99310.doc -29-

Claims (1)

1305299 十、申請專利範圍: 種用於產生模擬複數個曝光工具之成像性能之 方法,該方法包含以下步驟: 、' &產生一用於一第一曝光工具之校準模式,該校準模式 月b夠估计一欲藉由用於一給定微影程序之該第一曝光工 具產生之影像,該校準模式包含-第一組基本函數; 用於第一曝光工具之模式,該模式能夠估計 一欲藉由用於該微影程序之㈣二曝光卫具產生之影像 ,s亥模^包含-第二組基本函數;以及 將該第一組基本函數表示為該第一組基本函數之一線 )、且口,以便產生對應於該第二曝光工具之一等效模式 2.
-中該等放模式函數產& 一模擬影像,其對應於由用 料«財之該第二曝光工具產生的該影像。 如:求項1之方法’其中該校準模式之產生如下: 定義該微影程序中所要利用的該第一曝光工具之參數 與處理條件; < 一初始模式 定義複數個測試結構; /微〜程序之該第一曝光工具與處理條件來到 等㈣結構進行成像㈣得實際的成像結果; 藉由對„亥等測式結構應用該初始模式而產生模擬成 結果; 將4等_擬成像結果與該等實際成像結果進行比較; 99310.doc 1305299 以及 π周正該初始模式,使得該等模擬成像結果與該等實際 成像結果之間的差異小於一預定義的標準, 其中該已調整的初始模式對應於該校準模式。 3·如請求項1之方法,其中該第一組基本函數與該第二組基 本函數包含複數個固有函數。
4.如凊求項1之方法,其中在該第二曝光工具上不執行校準 程序。 5. 6.
如明求項2之方法,其中在該比較程序中,該等模擬成像 結果與該等實際成像結果之該比較利用二維輪廓圖案。 -種電腦程式產品,其包含可藉由至少一機器可讀取媒 體來傳輸的可執行碼,其中藉由至少—可程式化電腦來 執行該碼使該至少一可程式化電腦執行—序列步驟,以 產生用於模擬複數個曝光工具之成像性能的模式 列步驟包含: ^ 座生一用於 丁诉八,琢校準模式 能夠估計-欲藉由用於一給定微影程序之該第一曝光工 具產生之影像,該校準模式包含—第—組基本函數; 一產生一用於—第二曝光工具之模式,該模式能夠估古十 欲精由用於該微影程序之該第二曝光工具產生之 5該模式包含-第二組基本函數;以及 ^
該第二組基本函數表示為該第一組基本函數之 性組合,以便產生對應於該第2曝光工具之 函數, 99310.doc * 2 - 1305299 其中該等效模式函數產生一桓 屋生核擬衫像,其對應於由用 於該试影程序之該第-曝氺 昂一曝光工具產生的該影像。 如請求項6之電腦鞋守^ ⑽式產⑽’其巾產生該校準模式之該步 驟包含以下步驟: 定義該微影程序中所利用的 77扪用的该弟一曝光工具之參數與 處理條件; 產生該第—曝光工具之一初始模式; 定義複數個測試結構; 利用該微影程序之該第一暖本丁 g &占 , 忒弟曝先工具與處理條件來對該 等測試結構進行成像以獲得實際的成像結果; 錯由對該等測試結構應用該初始模式而產生模擬成像 結果; 將該等模擬成像結果與該等實際成像結果進行比較; 以及 調整該初始模式,使得該等模擬成像結果與該等實際 成像結果之間的差異小於一預定義的標準, 其中該已調整的初始模式對應於該校準模式。 1叫求項6之電腦程式產品,其中該第一組基本函數與該 第二組基本函數包含複數個固有函數。 士明求項6之境腦程式產品,其中在該第二曝光工具上不 執行校準程序。 如》月求項7之電腦程式產品,其中在該比較程序中,該等 模擬成像結果與該等實際成像結果之該比較利用二維輪 廓圖案。 99310.doc 1305299 α 一種詩產生模擬複數個曝光卫具之成像性能之模式的 裝置,該裝置包含: ^用於產生一用於-第-曝光工具之校準模式之構件, 該校準模式能夠估計—欲藉由用於—給定微影程序之該 第一曝光工具產生之影像,該校準模式包含一第—組基 本函數; 、用於產生-用於一第二曝光工具之模式之構件,該模 气月匕夠估彳&藉由用於該微影程序之該第二曝光工具 產生之影像,該模式包含-第二組基本函數;以及〃 用於將該第二組基本函數表 裂衣不為δ亥弟一組基本函數之 一線性組合以便產4斟座认# & 文座生對應於該第i曝光工具之 式函數之構件, 棋 其中該寻效模式函數產生一史 玍杈擬衫像,其對應於由用 於該微影程序之該第 乐一曝先工具產生的該影像。 12.如請求項“之裝置,1 . ,、中該用於產生該校準模式之構件 用於定義該微影程序中 厅矛J用的該第一曝光工呈之灸 數與處理條件之構件; π /、之參 用於產生該第一曝杏丁 θ 先工具之一初始模式之構件; 用於定義複數個測試結構之構件; 用㈣㈣«程序之㈣—曝光工 對:等=結構進行成像以獲得實際的成像結果之構2 成像結果之構件; 構應用該初始模式而產生模擬 99310.doc 1305299 果與該等實際成像結果進行比 用於將該等模擬成像結 較之構件;以及 %寸镇擬成诼筇禾興孫寻霄 際成像結果之間的差異小於_益—β ^ 預定義的標準之構件, 其中該已調整的初始模式對應於該校準模式。 ·:明求項11之裝置’其中該第—組基本函數與該第二組 基本函數包含複數個固有函數。
14. ^凊未項u之裝置,纟中在該第二曝光工具 準程序。 J rx 15. 項12之裝置,其中在該比較程序中,該等模擬成 安、、°果與該等實際成像結果之該比較利用二維輪扉圖
99310.doc
TW094102910A 2004-01-30 2005-01-31 Method, computer program product, and appararus for generating models for simulating the imaging performance of a plurality of exposure tools TWI305299B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US53999704P 2004-01-30 2004-01-30

Publications (2)

Publication Number Publication Date
TW200538890A TW200538890A (en) 2005-12-01
TWI305299B true TWI305299B (en) 2009-01-11

Family

ID=34837367

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094102910A TWI305299B (en) 2004-01-30 2005-01-31 Method, computer program product, and appararus for generating models for simulating the imaging performance of a plurality of exposure tools

Country Status (8)

Country Link
US (2) US7242459B2 (zh)
EP (1) EP1560073B1 (zh)
JP (1) JP4761789B2 (zh)
KR (1) KR100824031B1 (zh)
CN (1) CN100472326C (zh)
DE (1) DE602005014291D1 (zh)
SG (1) SG113602A1 (zh)
TW (1) TWI305299B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI617899B (zh) * 2015-10-07 2018-03-11 艾西塔奈米製圖公司 經由匹配程序決定施加至積體電路製造過程之劑量校正的方法
TWI817116B (zh) * 2021-05-12 2023-10-01 和碩聯合科技股份有限公司 物件定位方法及物件定位系統

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7337425B2 (en) * 2004-06-04 2008-02-26 Ami Semiconductor, Inc. Structured ASIC device with configurable die size and selectable embedded functions
US7116411B2 (en) * 2004-08-26 2006-10-03 Asml Masktools B.V. Method of performing resist process calibration/optimization and DOE optimization for providing OPE matching between different lithography systems
DE102005009536A1 (de) 2005-02-25 2006-08-31 Carl Zeiss Sms Gmbh Verfahren zur Maskeninspektion im Rahmen des Maskendesigns und der Maskenherstellung
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
US7519940B2 (en) * 2005-05-02 2009-04-14 Cadence Design Systems, Inc. Apparatus and method for compensating a lithography projection tool
JP2007142275A (ja) * 2005-11-21 2007-06-07 Toshiba Corp フォトマスクの判定方法、半導体装置の製造方法及びプログラム
JP5235322B2 (ja) * 2006-07-12 2013-07-10 キヤノン株式会社 原版データ作成方法及び原版データ作成プログラム
JP4707701B2 (ja) * 2006-11-08 2011-06-22 エーエスエムエル マスクツールズ ビー.ブイ. 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
US8365107B2 (en) 2007-01-18 2013-01-29 Nikon Corporation Scanner based optical proximity correction system and method of use
JP4989279B2 (ja) * 2007-04-05 2012-08-01 株式会社東芝 パラメータ値調整方法、半導体装置製造方法およびプログラム
JP4484909B2 (ja) * 2007-07-24 2010-06-16 キヤノン株式会社 原版データ作成方法、原版作成方法、露光方法および原版データ作成プログラム
US7999920B2 (en) * 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
NL1036189A1 (nl) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US7930657B2 (en) 2008-01-23 2011-04-19 Micron Technology, Inc. Methods of forming photomasks
NL1036750A1 (nl) 2008-04-14 2009-10-15 Brion Tech Inc A Method Of Performing Mask-Writer Tuning and Optimization.
US8806387B2 (en) * 2008-06-03 2014-08-12 Asml Netherlands B.V. Model-based process simulation systems and methods
JP5300354B2 (ja) * 2008-07-11 2013-09-25 キヤノン株式会社 生成方法、原版作成方法、露光方法、デバイス製造方法及びプログラム
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
NL2003716A (en) 2008-11-24 2010-05-26 Brion Tech Inc Harmonic resist model for use in a lithographic apparatus and a device manufacturing method.
US8108805B2 (en) * 2010-03-26 2012-01-31 Tokyo Electron Limited Simplified micro-bridging and roughness analysis
JP5969848B2 (ja) * 2012-07-19 2016-08-17 キヤノン株式会社 露光装置、調整対象の調整量を求める方法、プログラム及びデバイスの製造方法
EP2952964A1 (en) * 2014-06-03 2015-12-09 Aselta Nanographics Method for determining the parameters of an ic manufacturing process by a differential procedure
CN104977816B (zh) * 2015-08-05 2018-01-23 哈尔滨工业大学 基于Compact Particle Swarm Optimization算法的光刻机掩模台微动台的机械参数软测量方法
CN105068383B (zh) * 2015-08-05 2017-04-05 哈尔滨工业大学 一种微动台机械参数误差辨识方法
KR102084026B1 (ko) * 2015-11-13 2020-04-24 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치의 성능을 예측하는 방법, 리소그래피 장치의 캘리브레이션, 디바이스 제조 방법
KR102349124B1 (ko) 2017-06-06 2022-01-10 에이에스엠엘 네델란즈 비.브이. 측정 방법 및 장치
US11422472B2 (en) 2017-12-22 2022-08-23 Asml Netherlands B.V. Patterning process improvement involving optical aberration
US10656528B1 (en) * 2018-10-05 2020-05-19 Synopsys, Inc. Lithographic mask functions to model the incident angles of a partially coherent illumination
CN112363372B (zh) * 2020-11-19 2023-03-10 东方晶源微电子科技(北京)有限公司深圳分公司 一种负显影光刻工艺的仿真方法、负显影光刻胶模型、opc模型及电子设备

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0236738A3 (en) * 1986-02-05 1988-12-21 OMRON Corporation Input method for reference printed circuit board assembly data to an image processing printed circuit board assembly automatic inspection apparatus
JPH03174716A (ja) * 1989-08-07 1991-07-29 Hitachi Ltd 電子ビーム描画装置および描画方式
US5307296A (en) * 1989-11-17 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor workpiece topography prediction method
US5245543A (en) * 1990-12-21 1993-09-14 Texas Instruments Incorporated Method and apparatus for integrated circuit design
EP0617797B1 (en) 1991-12-20 2001-07-18 Essex Corporation Image synthesis using time sequential holography
JP3426647B2 (ja) * 1992-06-24 2003-07-14 日本電信電話株式会社 3次元トポグラフィシミュレーションのための一般化されたソリッドモデリング
US5307421A (en) * 1992-10-14 1994-04-26 Commissariat A L'energie Atomique Process for producing a synthesized reference image for the inspection of objects and apparatus for performing the same
JP3409493B2 (ja) 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
US5621652A (en) * 1995-03-21 1997-04-15 Vlsi Technology, Inc. System and method for verifying process models in integrated circuit process simulators
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5965312A (en) * 1996-05-16 1999-10-12 Fuji Xerox Co., Ltd. One-component developer
US5795688A (en) * 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
US6078738A (en) * 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US6370679B1 (en) * 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6081658A (en) * 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US7099005B1 (en) * 2000-09-27 2006-08-29 Kla-Tencor Technologies Corporation System for scatterometric measurements and applications
JP2002190443A (ja) * 2000-12-20 2002-07-05 Hitachi Ltd 露光方法およびその露光システム
TWI285299B (en) * 2001-04-04 2007-08-11 Asml Netherlands Bv Lithographic manufacturing process, lithographic projection apparatus, and device manufactured thereby
JP2003059787A (ja) * 2001-08-13 2003-02-28 Sony Corp シミュレーション方法および回路パターンの形成方法
DE10146499B4 (de) * 2001-09-21 2006-11-09 Carl Zeiss Smt Ag Verfahren zur Optimierung der Abbildungseigenschaften von mindestens zwei optischen Elementen sowie Verfahren zur Optimierung der Abbildungseigenschaften von mindestens drei optischen Elementen
JP3706364B2 (ja) * 2001-10-09 2005-10-12 アスムル マスクツールズ ビー.ブイ. 2次元フィーチャ・モデルの較正および最適化方法
JP3886820B2 (ja) * 2002-02-14 2007-02-28 株式会社東芝 露光装置の事前引当システム、露光装置の事前引当方法、及び露光装置の事前引当プログラム
DE10216986A1 (de) * 2002-04-16 2003-10-30 Heidenhain Gmbh Dr Johannes Verfahren zum Überprüfen eines Umrichters
US6777147B1 (en) * 2003-05-21 2004-08-17 International Business Machines Corporation Method for evaluating the effects of multiple exposure processes in lithography
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
DE102004006262B9 (de) 2004-02-09 2006-12-21 Infineon Technologies Ag Abbildungseinrichtung und Verfahren zum Entwerfen einer Abbildungseinrichtung

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI617899B (zh) * 2015-10-07 2018-03-11 艾西塔奈米製圖公司 經由匹配程序決定施加至積體電路製造過程之劑量校正的方法
TWI817116B (zh) * 2021-05-12 2023-10-01 和碩聯合科技股份有限公司 物件定位方法及物件定位系統

Also Published As

Publication number Publication date
KR20050078234A (ko) 2005-08-04
KR100824031B1 (ko) 2008-04-21
EP1560073B1 (en) 2009-05-06
US7242459B2 (en) 2007-07-10
DE602005014291D1 (de) 2009-06-18
US20070247610A1 (en) 2007-10-25
EP1560073A3 (en) 2008-05-14
CN100472326C (zh) 2009-03-25
JP2005217430A (ja) 2005-08-11
EP1560073A2 (en) 2005-08-03
US7440082B2 (en) 2008-10-21
JP4761789B2 (ja) 2011-08-31
US20050179886A1 (en) 2005-08-18
SG113602A1 (en) 2005-08-29
CN1683998A (zh) 2005-10-19
TW200538890A (en) 2005-12-01

Similar Documents

Publication Publication Date Title
TWI305299B (en) Method, computer program product, and appararus for generating models for simulating the imaging performance of a plurality of exposure tools
TWI327684B (en) Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
JP5501397B2 (ja) リソグラフィプロセスを最適化する方法
JP5666609B2 (ja) 光源及びマスクの最適化のためのパターン選択方法
JP3992688B2 (ja) コンタクト・ホール・マスクの光学的近接補正設計の方法
JP5461477B2 (ja) モデルベーススキャナ調整を実行する方法
JP4427518B2 (ja) 最小寸法の計算で用いるレジスト・モデルの較正を改善する方法、プログラム製品、及び装置
JP5180359B2 (ja) 光源、マスクおよび投影光学系の最適化の流れ
JP4524174B2 (ja) 固有分解に基づくopcモデル
TWI334961B (en) Apparatus, method and computer program product for performing a model based optical proximity correction factoring neighbor influence
KR100865768B1 (ko) 다크 필드 더블 이중극 리소그래피(ddl)를 수행하는방법 및 장치
JP4707701B2 (ja) 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
TWI519901B (zh) 用於三維抗蝕分佈模擬之微影模型
JP5461457B2 (ja) マスクを表す機能と予め規定された光学条件との相関関係に基づくリソグラフィ結像における設計ルールの最適化
EP1804123A2 (en) A method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
TW201011474A (en) Model-based process simulation systems and methods
TW200534047A (en) Feature optimization using interference mapping lithography