TWI280987B - Structure comprising amorphous carbon film and method of forming thereof - Google Patents

Structure comprising amorphous carbon film and method of forming thereof Download PDF

Info

Publication number
TWI280987B
TWI280987B TW094102320A TW94102320A TWI280987B TW I280987 B TWI280987 B TW I280987B TW 094102320 A TW094102320 A TW 094102320A TW 94102320 A TW94102320 A TW 94102320A TW I280987 B TWI280987 B TW I280987B
Authority
TW
Taiwan
Prior art keywords
layer
pattern
amorphous carbon
forming
metal
Prior art date
Application number
TW094102320A
Other languages
English (en)
Other versions
TW200530422A (en
Inventor
Eric M Lee
Dorel I Toma
David C Wang
Jeffrey T Wetzel
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200530422A publication Critical patent/TW200530422A/zh
Application granted granted Critical
Publication of TWI280987B publication Critical patent/TWI280987B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Carbon And Carbon Compounds (AREA)

Description

1280987 九、發明說明: 一、 【發明所屬之技術領域】 本發明係關於一具有防反射包覆層(arc)的結構及其形成 方法’尤其是關於一具有非晶碳膜ARC層之後段製程(BEOL)結 構及其形成方法。 二、 【先前技術】 在材料處理方法中,圖案姓刻包含將例如光阻之輻射敏 料之圖案化遮罩應用至基板之一上表面的薄膜上,且利用&刻 而將^遮罩®案轉印至下方之薄膜。該輻射敏感材料之圖案化^ =包含將基板之一上表面包覆以一輻射敏感材料之薄膜,^後 例如一微影系統透過一初縮遮罩(以及相關之光學元 二t敏感材料薄膜暴露於一輻射源中。之後再執行一顯影處理 i j (在負先的情況下)。剩餘之輻射敏感材料將其下方A拓 表,之圖案予以暴露。用以處理上述材ί處 要支柱,並且^使解然^為半導财置圖案化之主 -徽旦)系LUi度降 或更小預估仍將保持這種地位。 裝置尺寸1有所可製造的最小 4衫书數k!,其解析度即可由下列算式求得。 r〇 ^kj Α /ΝΑ, (1) 其中Α為操作波長’ _Α縣可打列算式求得之數值孔徑 ΝΑ=η,ύηθ〇, (2) 化之㈣入綠统和欲圖案 因此目赠影術之趨勢包含提高數值孔徑⑽)以印出 1280987 越來越小的結構。然而,雖然所增加2NA容許更大的解析度, 投射入感光材料的影像之聚焦深度卻降低了,進而導致更薄的 $罩層 旦感光層厚度減小’作為圖案蚀刻遮罩之圖案化感 光層的效率就降低,也就是說,在蝕刻時,大部分之(感光) 遮罩均被消耗掉。在蝕刻選擇性無大幅改善的情況下,單層遮 罩對於提供適用於高解析度微影時所需之微影及蝕刻特性已嫌 不足。 • 單層遮罩之另一缺點則在於臨界尺寸(CD)的控制。在紫 -外(UV)及深紫外(DUV)波長之基板反射咸知會因薄膜之干 涉而引起感光層之駐波。此種干涉在暴露時會表現在感光層之
® 光強度的週期性變動,因而引起感光層之垂直間隔條紋以及CD 的耗損。 ^為了對抗感光層之駐波的效果以及為後續圖案蝕刻轉移時 提供較厚遮罩,可形成與一底部防反射包覆層(BARC)相結合 的雙層或多層之遮罩。BARC層包含一吸收薄膜以降低薄膜干 涉。然而,BARC層仍受到諸多限制,包含部分由於旋轉塗布技 術所導致之厚度不均。 、另外,亦有人提出可提供調整薄膜之光學特性能力之氣相 • 沈積薄膜ARC層以減輕許多前述的問題。舉例來說,例如可調 •抗侧ARC ( TERA)層(請參見已讓與給IBM公司(Intemati〇nal BusinessJVIachines Corporation)之美國專利號第 6 316 167號)以 及非晶碳膜(請參見讓與給Applied Materials,Inc·之美國專利號 6:5了3,030)之有機薄膜’其可製成具有一可調折射係數以及消 光係數,該可調折射係數以及消光係數可沿著薄膜厚度來任意 •分層以將基板之光學特性與成像感光層相配合。然而關於非晶 石厌,的使用,本發明者體認到揭露於美國專利號6,573,〇3〇之此 種薄膜並非十分適合用於形成金屬鑲散結構。 三、【發明内容】 非晶碳層 其包含一
1280987 題。本發明之-實施祕鱗低朗除上述任1題或所有問 方法本㈣之另-目_是提供含有—非晶碳叙結構及其形成 之金S另一目的則是為了提供-有 根據本發明之一實施態樣,係描述一半導體 半導體基板’-形成於該半導體基板上之薄 2 理的薄膜。一包含於薄膜堆疊裡之雙;遮ϊ Ϊ3 屬鑲嵌結構係形成於薄膜堆疊中。 、、連線之金 根據另-實施態樣,係描述形成一積體電路結豆 二驟,:形成-介電材質層於-基板上;於該介電材質層上形^ 1硬遮罩層’並在該硬遮罩層上形成—非晶碳膜材質層。藉由將 非晶碳膜材質層當作用以形成内連線結構、一雙硬遮罩之^層以 及一防反射包覆層,以及當作一化學機械研磨(CMP)麥程;之 犧牲層’其中該硬遮罩係用來當作一 CMP停止層,如此ς形成一 金屬内連線用之金屬鑲嵌結構。 四、【實施方式】 如心述’本發明者體g忍到揭露於美國專利號6 573 030之非 晶碳膜並非十分適合用於形成金屬鑲嵌結構。尤其,美國專利 號6,573,030中圖2及圖3之實施例揭露出將非晶碳層當作一硬遮 罩而與待處理之薄膜直接接觸。而美國專利號6,573,030之圖4則 揭露出將非晶碳膜當作一防反射包覆層,在圖5中則顯示出合併 兩非晶碳膜層來提供一硬遮罩以及一防反射包覆層,這些實施 例亦需要非晶碳膜直接與待處理之薄膜直接接觸。本發明者體 認到非晶碳膜不僅可在一金屬鑲嵌結構中當作可調ARC層以及 1280987 止層時,非晶碳膜則可當作-犧:層ί: ί ’/非晶碳層可整合入後段製程(_l)應i中, 中金屬内“結構 構、雙硬遮罩之—上二日層内連=^== 的丧Ϊίΐ參^示,在這些圖中相同或相似的部分均指定相同 二以中圖-=在 :晶硬?r層優先雙金屬鎮 中介層形成之侧係發生於其他 形成包含一具有 ⑴,-形成於金二二一=〇板 遮i⑽上;ί二電-形成於硬 材料層145。 ^成於非日日石反層140上之感光 之間的硬遮罩層135可提供 罩消耗。在一雙金屬遮 中-銅金屬化係在其填入金屬鑲嵌結:===外其 1280987 例如,硬遮罩層135可促成使用具有較大蝕刻選擇性(介於 的材質以及硬遮罩材質之間)之姓刻製程,如此而可藉由 角(faceting)而維持臨界尺寸(CD)。 將非晶碳層140用來與薄膜堆疊100之感光材料145相 助於内連線結構之臨界尺寸(CD)的控制,或是在内連 CD變化(例如由於在感光材料層145之線邊緣粗糙度)的g 對於熟習用於階層間和階層内以及例如單金屬鑲嵌及雙金^ 結構之(金屬)内連線結構之(絕緣)薄膜堆疊之技藝者而古,入 薄膜堆疊100的形成可包含該等步驟及使用技術。 舉例來說’金屬線112可包含鎢、鋁或銅。此外,例如,金 罩層115可包含例如石夕氮化物(獅4)或碳化石夕(Sic)、碳氮化 =iCN)、碳氧氮化石夕(SiCON)或其前述之組合之氮化物或碳化材 料,或是其他適用於當作金屬擴散障壁之薄膜。此層可利用包含 但不僅限於化學氣相沈積法(CVD)或電漿加強CVD (pECVD) 的方法來形成。此外,例如,蝕刻停止層125可以是一非必須芦 ,將描述> 其可包含例如碱化物之氮材料、例如曰碳化 矽>(sc)或碳氧化矽(SiC0)之碳材料或是例如二氧化矽(si〇2) ^氧化材料,或其如述之組合。此層可利用包含但不僅限於化學 虱相,積法(CVD)或電漿加強CVD (pECVD)的方絲形成。 ,-介電層120以及第二介電層⑽可包含相同之材料組成, I有不同之材料組成。每一介電層可例如包含二氧化矽,或一 二奴電&數值小於二氧化石夕約為4 (例如熱二氧化石夕之介電 二一、〜3·9的範圍)之介電常數值的介電材料。具體而言, ία 1弟一介電層120及130可具有小於3·7之介電常數或是介於 1.6〜3·7之間的介電常數。 ★ 電層120、130均可利用化學氣相沈積(CVD)或電漿
y予氣相沈積(PECVD)的技術來形成,或也可利用T〇ky0 e_n Llmited(TEL)市售之⑶肪了磁act8以及从 覆糸統所提供之旋齡電獅(㈣)。Clean TYaek ACT8 SOD 1280987 (200mm)以及ACT12 (300mm)包覆系統提供s〇D材料之 烘烤以及硬化之工具。職处純可·處理刚麵、麵、 300mm或更大之基板尺寸。同時熟習旋轉介電技術以及介電 技術之技#^亦熟知於基板上形成介電_的其他純及方法。 此外,第一及第二介電層12〇、13〇可例如具有低介電常數 低k)之介電薄膜的特徵。此等介電層可包 機 -有機混合材料至少其中之—。且,此等介電層可為^性 ϊΊΐίϋ此等介電層可包含—利用CVD技術沈積之無機石夕酸 鹽基材料(例如碳摻雜氧切)或有機魏烧。此種薄膜的例子 可包含Applied Materials,lnc·市售之Black Diam〇ndTM cVD有機
,鹽玻璃(OSG)薄膜或是N〇veiiusSystems市售之CoralTMCVD ,膜。選擇性地,此等介電層可包含由單相所組成的多孔有機益 機混合薄膜,例如具有在硬化或沈積處理中阻礙薄膜之完全揭化、 而f生小空隙(或孔)之CH3鍵之氧化石夕基基質。另外選擇性地, 此等;丨電層可包含由至少兩相組成之多孔性無秦有機混合薄膜, 例如由硬域理巾會分解及紐之錢㈣(例抑⑽卿)所構 成的具有孔洞之碳摻雜氧化矽基基質。再另外選擇性地,此等介 電層可包含利用SOD技術沈積之一無機、石夕酸鹽基,例如含氫的 石夕酸鹽(HSQ)或含甲基的碰鹽(MSQ)。此種細的例子二包含 Dow Coming市售之F0x HSq、d〇w c〇ming市售之XLK 多孔 HSQ、以及JSRMicroelectronics市售之JSRLKD-5109。再另外選 擇,地’此等介電層可包含一利用s〇D技術沈積之有機材料。此 種薄膜的例子包含Dow Chemicals市售之SiLK-I、SiLK_J、
SiLK_H、=LK_D以及多孔SiLK半導體介電樹脂以及H〇neywd^ 售之GX-3及GX_3P™半導體介電樹脂。 此外,例如,該硬遮罩層135可包含一例如氮化石夕⑸抓)之 氮化物、一例如碳化石夕(SiC)或碳氧化石夕(Sic〇)之碳化物、或 财火金屬或例如氮化!£ (TaN)之耐火金屬氮化物,或是其前述之 組合。此層可利用包含但不僅限於化學氣相沈積法(CVD)或電
1280987 相沈積(PECVD)以及物理氣相沈積(PVD)噴賤 伴可例如细熱分解—含有碳化氫化合物以及一 二^二之軋體混合物而形成。該碳化氳化合物可選擇性地包含 If而引人進行碳化氫化合物(與基板表面非常接近)之 而::…、分解之製程處理室中,因此而使非晶碳層140在基板表 山·=積。根據本發明而沈積之已沈積非晶碳層14〇具有可調整之 =·氣比例,其氳的範圍約在10%〜60%。非晶碳層140在波長約 ,亦具有—介於約G·1〜1飾肖光係數,使其適合在 DUV波長時,用來當作一防反射包覆層(ARC)。且,非晶碳膜刚 可用來展現折射係數約為15〇<n<19〇之間的光學範 國專利號6,573,_之發明名稱為他在美 amorphous carbon layer」’受讓人為Applied Materials,Inc·中提供了 更詳盡的細節;前述專利之完整内容亦包含於此。非晶碳膜14α^例 如折射係數的光學特性均可選擇,俾便大致與一下方層或多層之 光,特性相配合。舉例來說,例如非多孔介電薄膜之此種下方層 可需要達到介於1·5<η<1·9的折射係數;而例如多孔介電薄膜的此 種下方層則可需要達到介於I2<n<2.6的折射係數。 a /b外,例如,感光材料層145可包含光阻,其中一圖案可利用 微影術而形成於其中,之後再將感光材料以顯影溶劑將被照射到 的區域移除(在正光阻的情況下),或是將未照射到的區域移除(在 負光阻的情況下)。舉例來說,感光材料層145 (或多層)可利用 一追蹤系統來形成。追蹤系統可用來處理248nm電阻、19311111電 阻、157nm電阻、EUV電阻、(上部/底部)防反射包覆層 (TARC/BARC)以及上部包覆層。舉例來說,該追蹤系統可包含
Tokyo Electron Limited(TEL)市售之Clean Track ACT 8 或 ACT 12 電 阻包覆以及顯衫糸統。熟習旋轉電阻技術之技藝者亦熟知用以形 成光阻薄膜於基板上的其他系統及方法。此外,例如,光罩圖案 可利用任何適用之傳統步進微影系統或是掃瞄微影系統來形成。 11 Ϊ280987 二旦感光材料層145形成於薄膜堆疊励上,便可以上述方 如i =微影術而圖案化以第一圖案180。第一圖案⑽可包含例 如^4 i i接觸窗用之圖案。如圖ib所示,第一圖案18〇可利用例 包含移^硬,之非晶碳層。乾式電浆侧可 $雷將自 鼠、氣、溴、氫的族群及其組合其中之一 性ϊΐίΐί 2擇性地,該電聚化學品更可包含氮或一例如鈍 i 乱:氖、氯、氣、氮、氡)之惰性氣體。再另一 卜薄、,®办電漿化學品可選用來呈現介於非晶碳層之蝕刻率以及 一案化之感光材料層之餘刻率之間的高钕刻選擇性。再另 性地’ 化學品可_來呈現介於非晶碳狀侧率以 絲#硬遮罩層之蝕刻率之間之高蝕刻選擇性。一旦第一圖案18 0 碳層140上時,當與硬遮罩停止層135一起使用時:圖 來當作—上部硬遮罩以_下方之薄 使ir姐殘餘感光材料145便可利用熟習該技藝者熟知之電漿或 其他化學技術來去除。 电水4 ㈣ΐ在ΐ參見圖lc,另—感光材料層146制用上述技術而形成 开。其中’一第二圖案190係利用顯微钕刻技術而 形成。弟二圖案190可例如包含一渠溝圖案。一旦第二圖案觸形 ,於感J材料層146中’第二圖案19。便可轉移至非晶碳層14〇,而 弟-圖案180便轉移至硬遮罩層135,如圖m所示。舉例來說 二圖案及第-®案分浦移轉晶韻以及硬鮮層可進 行。 在圖案移轉至非晶碳層140以及硬遮罩層135之後,圖正說 了第二圖案18G轉移至第二介電層13〇。由於圖m之中間介層 步驟V止在餘刻停止層I25處,此處所提之圖正的結構便是一部份 介層結構。第-圖案180之轉移至第二介電層13〇可包含乾式電漿 蝕刻,其中該處理係設計為停止於下方之蝕刻停止層125上。7 舉例來說,當姓刻例如氧化石夕、二氧化石夕等之氧化介電層時, 或是當侧例如碳摻雜氧化石夕材料之無機低k介電薄膜時,該蝕刻 12
1280987 ,體的組合可大致包含例如至少C/8、、C^6、〇4匕、CF4等 等其中之一之氟碳基化學物,以及至少一惰性氣體、氧氣或〇^其 中之一。此外,例如,當蝕刻有機低]^介電薄膜時,蝕刻氣體的^ 合可包含至少氟碳氣體、含氮氣體、含氫氣體、或含氧氣體其中 之一。例如前面所述之那些選擇性蝕刻一介電薄膜之技術均/已為 熟習介電蝕刻處理之技藝者所熟知。電漿化學物可選用來呈現相 較於蝕刻停止層125之蝕刻第二介電層13〇之高選擇性。蝕刻處理 可用來呈現輪廓或臨界尺寸(CD)控制、侧—致性(跨越整個 基板)、避免微渠溝現象之扁平姓刻前端、到感光材料層之餘 擇性以及到CMP停止層及蝕刻停止層之蝕刻選擇性其中任何一 一現在請參見圖1F,第一圖案180已轉移至蝕刻停止層125,而 第二圖案190則轉移至硬遮罩層135。例如,第一圖案及第二 刀別轉移至磁|]停止層以及硬遮罩層可同時進行。圖案的^ 利用乾式電漿侧來進行,其中電漿化學物可包含至少呢、sf、 氣體、氫㈣氣體或含氧氣體其中之—。侧處理6用 2現輪叙臨界財(CD)㈣、到感光材料層之_選 越整個基板)、以及完整去除硬遮罩層及靖止 在圖1G中’第二圖案190係轉移至第二介電層13〇 案180▼轉移至第-介電層12G。例如,第二圖案及第— 二 轉移至第二介,層以及第—介電層可同時進行?其中第二S芦 之钱刻處理雜止於侧停止層125,而第 二
:止,罩層丨15。祕刻處理可包含利用乂 J J 。_處理可用來呈現輪廓及臨界尺·? 扁平_端以及第 13 1280987 之後,如圖1H所示’第一圖案18〇轉移至 出 而,成又例如介層結構155以及—渠溝結構15()的^日。在一^匕 :本以及渠溝結構150可同時填滿例如銅的金ί, 平敵⑽製辦,私碳層Η0可用來當作 犧ff,而硬遮罩層135則可用來當作-CMP停止層。 錾人入一入線、、、σ構的形成方法。本方法的特徵在於非晶碳層係 ΐ ΐ 王〃層優先雙金屬賴結構。此處所說之「完全介居
i特y介層之完整形成之侧係在另—有關例如渠i j欲勒成之侧前完成的處理。薄膜2 屬,212戦於射之基板21G,—軸於基板2社之金屬有罩層1 “,一形成於金屬罩層215上之第一介電層220,一形成於第一介 ,層220上之蝕刻停止層225,一位於蝕刻停止層225上之第二介電 曰230 位於弟一介電層230上之硬遮罩層235,一位於硬遮罩層 235上之非晶碳層24〇,以及一位於非晶碳層24〇上之感光材料層曰 245,且其可依照圖1A所描述之薄膜堆疊1〇〇之非常類似的方式來 f備。位於第二介電層230以及非晶碳層240之間的硬遮罩層235可 提供一雙硬遮罩之一底層以及一CMp停止層至少其中之一。而當 硬遮罩層235在雙金屬鑲嵌結構中當作CMp停止層時,非晶碳層 240便可提供一上硬遮罩、一可調ARC層、一CMp停止層以及一犧 牲層至少其中之一。 旦感光材料層245形成於薄膜堆疊200上,便可以上述方 法’利用微影術而圖案化以一第一圖案280。第一圖案280可包含 例如一介層或接觸窗用之圖案。如圖2B所示,第一圖案280可利用 例如乾式電漿蝕刻而轉移至非晶碳層240、硬遮罩層235、第二介 電層230、蝕刻停止層225以及第一介電層220。每一層的蝕刻處理 可包含與上述說明類似之步驟及化學物。由於圖2B之中間介層蝕 刻步驟止於金屬罩層215,此處之圖2B之結構乃指一完全介層結 構。殘餘之感光材料245便可利用熟習該技藝者熟知之電漿或其他 1280987 化學技術來去除。 請參見圖2C,另一感光材料層246係利用前述技術而形成於薄 膜堆疊200上。其中,一第二圖案29〇係利用顯微蝕刻技術而形成。 第二圖案290可例如包含一渠溝圖案。一旦第二圖案29〇形成於感 光材料層246中,第二圖案290便可轉移至非晶碳層240、硬遮罩層 235,以及第二介電層230。殘餘之感光材料246便可利用熟習該技 藝者熟知之電漿或其他化學技術來去除。之後,如圖2d所示,第 "一圖案轉私至金屬罩層215,因此而完成了例如一介層結構250以 及一渠溝結構255的形成。 在第一圖案280之圖案移轉之後的感光層245之去除時,灰化 •(或剝離)處理有可能影響非晶碳層240的特性。因此,在本發明 之一貫施例中,非晶碳層240可如同圖2E顯示般的被移除及再沈 積。在本實施例中,硬遮罩235可用來當作一蝕刻停止層或cmp停 止層以預防對介電層230的傷害。之後,第二圖案29〇之圖案移轉 可如同圖2C及2D般的進行。然而,在蝕刻處理中,非晶碳層24〇 可也不可從第一介電薄膜220之外露側壁上完整移除。因此f在另 一交替的實施例中,如圖2F所示,非晶碳層240係於第一圖案的移 轉之後被移除,而一BARC層材料270則利用例如旋轉包覆^支術而 _ 應用。填滿介層結構250之BARC層材料270之後可利用乾式電紧姓 I刻而加以部分移除或隱藏。蝕刻氣體的組合可包含至少一氣if氣 體、一含氮氣體、一含氫氣體或一含氧氣體其中之一。再之後;, 第二圖案290之圖案移轉可利用熟知該項技藝者所熟知之標準技 術來執行以形成渠溝結構255。在一實施例中,介$結構以及 • 渠溝結構255可同時填滿例如銅的金屬。在本實施例中,在移平銅 ’之CMP製程時,非晶碳層240可用來當作一犧牲層,而硬遮罩 & 則可用來當作一 CMP停止層。 θ 根據再另一實施例中,圖3Α〜3F顯示簡略示意圖,說明於一 /專膜堆豐300中内連線結構的形成方法。本方法之特徵在於非曰石山 層不需一停止層而整合入一全介層優先雙金屬鑲嵌結構。薄膜^ 15 1280987 疊300包含一具有一金屬線312形成於其中之基板31〇,一形成於基 板\10上之金屬罩層315,一形成於金屬罩層315上之介電層32〇, 形成於介電層320上之硬遮罩層335,一形成於硬遮罩層335上之 非晶,層340丄以及一位於非晶碳層34〇上之感光材料層345,且薄 膜堆豐300之每一層均可依照圖1A所描述之薄膜堆疊丨00之非常類 似的方式來準備。此處,當非晶碳層34〇當作一犧牲層時,硬遮罩 層335可提供一雙硬遮罩結構之下層或一CMP停止層。非晶碳層 340可提供一 CMP停止層以及一可調arc層。 • 一旦感光材料層345形成於薄膜堆疊3〇〇上,其便可以上述方 法利用微影術而圖案化以一第一圖案380。第一圖案380可包含例 •如二介層或接觸窗用之圖案。如圖3B所示,第一圖案38〇可利用例 如乾式電漿敍刻而轉移至非晶碳層340、硬遮罩層335以及介電層 320。母一層的姓刻處理可包含與上述說明類似之步驟及化學物。 殘餘之感光材料345便可利用熟習該技藝者熟知之電漿或豆他化 學技術來去除。 〃 +明參見圖3C’另一感光材料層346係利用前述技術而形成於薄 f堆疊300上。其中,一第二圖案39〇係利用舰餘刻技術而形成。 ^二圖案390可例如包含一渠溝圖案。—旦第二圖案39〇形成於感 ’光材料層346中,第二圖案390便可轉移至非晶碳層34〇、硬遮罩声 、335—以及介電層320之上部。第二圖案綱轉移至介電層32〇之深度 可藉由減少或增加蝕刻處理時之蝕刻時間來調整。殘餘之感光材 料346便可利用熟習該技藝者熟知之電漿或其他化學技術來去 除二之後,如圖犯所示,第一圖案380轉移至金屬罩層315,因此 而完成了例如一介層結構350以及一渠溝結構355的形成。在一實 ~施例中,介層結構350以及渠溝結構355可同時填滿例如銅的金 屬。在本實施例中,在移平銅之CMP製程時,非晶碳層34〇可用來 當作一犧牲層,而硬遮罩層335則可用來當作一CMp停止層。 如上所述,在第一圖案380之圖案移轉之後的感光層3曰45之去 除時,灰化(或剝離)處理有可能影響非晶碳層34〇的特性。因此, 16 1280987 在一實施例中,非晶碳層340可如同圖3E顯示般的被移除及再沈 積。在本實施例中,硬遮罩層335可用來當作一姓刻停止層或一 CMP停止層以預防對介電層320的傷害。之後,第二圖案390之圖 ^移轉可如同圖3C及3D般的進行。然而,在此蝕刻處理中,非晶 碳層340可也不可從介電層320之外露側壁上完整移除。在另一= 替的實施例中,如圖3F所示,非晶碳層340係於第一圖案的移轉之 後被移除,而一BARC層370則利用例如旋轉包覆技術而應用。填 / 滿介層結構35〇之BARC層材料370之後可利用乾式電漿蝕刻而加、 • 以°卩刀移除或隱臧。敍刻氣體的組合可包含至少一氟碳氣體、一 含氮氣體、一含氳氣體或一含氧氣體其中之一。再之後,第二圖 ® 案390之圖案移轉可利用熟知該項技藝者所熟知之標準技術來 行。 根據再另一實施例,圖4A〜4K顯示簡略示意圖,說明在一薄 膜堆疊400中内連線結構的形成方法。本方法的特徵在於非晶碳声 係整合入一多硬遮罩渠溝優先雙金屬鑲嵌結構。薄膜堆疊 一具有一金屬線412形成於其中之基板410,一形成於基板41〇上^ 金屬罩層415,一形成於金屬罩層415上之第一介電層42〇,一形成 於第一介電層420上之(選用)蝕刻停止層425,一位於(選用 -钱刻停止層425上之第二介電層430,一位於第二介電層43〇上之 %遮罩層435,一位於硬遮罩層435上之第一非晶碳層440,一位於 一非晶碳層440上之第二非晶碳層441,以及一位於第二非晶碳声 441上之感光材料層445,且其可依照圖1A所描述之薄膜堆1^〇〇曰 之非常類似的方式來準備。硬遮罩層435可提供雙硬遮罩層^下厣 _ 或一CMP停止層至少其中之一。而當硬遮罩層435在雙金』 二 • 構中當作CMP停止層時,第二非晶碳層441便可提供一上硬遮 一可調ARC層、一CMP停止層以及一犧牲層至少其中之一。'' 一旦感光材料層445形成於薄膜堆疊4〇〇上,其便可以上 法利用微影術而圖案化以一第一圖案480。第一圖案48〇可包二 如一渠溝用之圖案。如圖4B所示,第一圖案48〇可利用例如 17 1280987 漿I生刻而轉移至第二非晶碳層441。該餘刻處理可包含與上述說明 類似之步驟及化學物。殘餘之感光材料445可利用孰習該技蓺者熟 知之電漿或其他化學技術來去除。 =… 請參見圖4C,另一感光材料層446係利用前述技術而形成於薄 膜堆疊400上。其中,-第二圖案49〇係利用顯微侧技術而形成。 第二圖案490可例如包含一介層圖案。如圖4D所示,一旦第二圖案 490形成於感光材料層445中,第二圖案便可轉移至第晶^ - 層440以及硬遮罩層435。 _ 之後,如圖4E所示,第二圖案4%轉移至第二介電層43〇。夂 餘光材料446便可利用熟習雜藝者熟知之電漿或 其他化學技術來去除。 曰if 4(5中,第一圖案480係轉移至第一非晶碳層而第二圖案 m移至(選用)⑽停止層425。第一圖案及第二圖案分別 ,移,苐-非晶碳層以及(選用}侧停止層可同時進行,盆中 =非晶碳層之侧處理停止於硬遮罩層435,❿(選用)韻刻停 將=侧處理則止於第一介電層420。該韻刻處理可包含乾式^ 上述介電層用之那些電漿化學物。钱刻處理 其w t見輪廊或^界尺寸(cd)控制、侧一致性(跨越整個 之射爛前咖及第,㈣二圖案棱 係轉移至第-介二二電f广之後’如圖41所示’第-圖案480 侧。第-則轉移至第一介電層 可同時進行,1中第一移至第一介電層以及第一介電層 而第-介電層:4:=====425, 越整個基板^免尺寸(CD)控制、_—贿(跨 免U朱溝現象之扁平韻刻前端以及第一圖案/第二 18 1280987 圖案稜角選擇性其中任何_個。 再後,如圖4J所示,第二圖案490係轉移至金屬罩層415, 因此而完成了例如介層、结構455以及渠溝結構45〇的形成。在一實 f例中,^層結構455以及渠溝結構45〇可同時填滿例如銅的金 f i在Ϊ實施例* ’在移平銅之復1"製程時,非晶碳層440可用來 虽作一犧牲層,而硬遮罩層435則可用來當作一CMp停止層。 ^ "^據再另一實施例,圖5A〜5D顯示簡略示意圖,說明在一薄 ==5GG中内連線結構的形成方法。本方法的特徵在於非晶碳層 係正&入一埋入介層遮罩雙金屬鑲嵌結構。薄膜堆疊5〇〇包含一具 I 線512形成於其中之基板510,一形成於基板510上之金屬 * ^ ,一形成於金屬罩層515上之第一介電層52Θ,一形成於第 520上之第一非晶碳層谓,一位於第一非晶碳層540上之 巧材料層545。每-層均可依照圖1A所描述 常類似的方式來準備。 一旦感光材料層545形成於薄膜堆疊5〇〇上,其便可以上述方 法利用微影術而圖案化以一第一圖案。第一圖案58〇可包含例 窗f之圖案。如圖5骑示’第一圖案580可利用例 轉移至第一非晶碳層540。第-非晶碳層之蝕刻 ΐίΐέ與上述綱類似之步驟及化學物。在侧處理之後, 光材料層545可利用熟習該技藝者熟知之電漿或其他化 学技衝來去除。 曰山參見圖5C,—第二介電層53G係形成於圖案化之第一非 曰曰奴層540上,而一硬遮罩層535係形成於第二介電層53〇上, Ϊίί層形成於硬鮮層535上,感光㈣層546則形 =苐一非⑼層542上。每-層均可依照圖丨a所描述之薄膜堆& 1〇〇的相同方式來準備。硬遮罩層535可提供一底部硬遮罩或一且 之—’而當硬遮罩層535在雙金屬職結構中 當作CMP停止層時,非晶碳層540便可提供至少一上硬遮罩、 调ARC層、一CMP停止層以及一犧牲層其中之一。 19 1280987
一旦另一感光材料層546形成於薄膜堆疊500上,第二圖案59〇 的圖案便可利用微影術而產生。第二圖案59〇可包含例如一渠溝用 之圖案。如圖5D所示,一旦第二圖案590形成於感光材料層546上 時,第二圖案590便可利用例如乾式電漿蝕刻的方式而轉移至第二 非晶碳層542、硬遮罩層535以及第二介電層530。當第一非晶碳層 540當作一蝕刻停止層時,第一圖案58〇便可利用例如乾式電漿蝕 刻的方式轉移至第一介電層520。任何殘餘之感光材料546之後便 叮利用热習该技藝者熟知之電漿或其他化學技術來去除。再之 後,金屬罩層515便可加以去除,如此而完成了例如介層結構55〇 以及^:溝結構555之形成。母一層之姓刻處理均可包含如前面所述 之相同的步驟及化學物。此外,在一實施例中,介層結構55〇以及 渠溝結構555可同時填滿例如銅的金屬。在本實施例中,在移平銅 之CMP製程時,非晶碳層542可用來當作一犧牲層,而硬遮罩層 則可用來當作一 CMP停止層。 ^ ,據再另一實施例,圖6A〜61顯示簡略示意圖,說明在一薄 =隹宜600中内連線結構的形成方法。本方法的特徵纟於非晶碳層 係整合入一單金屬鑲嵌結構。薄膜堆疊6〇〇包含一具有一金屬線 612形成於其中之基板61〇,一形成於基板61〇上之金屬罩層, 二,成於金屬罩層615上之第一介電層⑽,—形成於第一介電層 _上之第一硬遮罩625,一位於第一硬遮罩625上之第一非晶碳層 ,一位於第一非晶碳層64〇上之感光材料層645。每一層均可依 照圖1A所描述之薄膜堆疊1〇〇之相同的方式來準備。 當薄膜堆疊600包含硬遮罩層625時,硬遮罩層625可提供至少 ^鮮或:C停止層其中之一,而非晶碳層64〇可提供一^硬遮 罩、一可调ARC層以及一CMP停止層至少其中之一。 術而二光ΐ料層645形成於薄膜堆疊_上,其便可利用微影 二入以弟一圖案680,如前所述。第一圖案680可包含例如 圖案。如_所示,第一圖案680可利用例如 乾式電水_的方式而轉移至第—非晶碳層_以及(選用)第一 20 1280987 硬=、625。蝕刻處理可包含類似於前述之步驟及化學物。之後, ^二=、’第―®案_可利關如乾式電漿似彳的方式而轉移 I二電層62〇。蝕刻處理可包含類似於前述之步驟及化學物。 羽之後,如圖6D所示,殘餘之感光材料層645便可利用熟 白该技i者熟知之電漿或其他化學技術來去除。 銘請參見圖6E,第一圖案680係利用例如乾式電漿侧而轉 移至至屬罩層615。該蝕刻處理可包含類似於前述說明之步驟及 學物。
現在請參見圖6F,例如鋁或銅的金屬係沈積於薄膜堆疊6⑻上 以利用至少物理氣相沈積法(PVD)、CVD、pECVD、電鍍或其 任何組合的其中之一來填滿位於第—介電層⑽中之第—圖案(’或 ^層)。一旦金屬沈積完成之後,該金屬便利用例如CMp來拋光至 第一硬遮罩層625。在本實施例中,非晶碳層64〇係當作一犧牲層, 而硬遮罩625則是-CMP停止層。之後,第二金屬罩層626便形曰成 於第一硬遮罩層625以及金屬填充之第一圖案(或介層)613上, 第二介電層630則形成於第二金屬罩層626之上,第二硬遮罩層635 則形成於第二介電層630之上,第二非晶碳層641形成於第二硬遮 罩層635上,而另一感光材料層646則形成於第二非晶碳層641之 上。每一層均可與圖1A所描述之薄膜堆疊1〇〇相同的方θ 硬遮罩層635可提供至少硬遮罩或一CMP停止層其中之^ 非晶碳層641可提供一上硬遮罩、一可調ARC層以及一 CMp停止^ 至少其中之一。 τ曰 一旦另一感光材料層646形成於薄膜堆疊6〇〇上,第二圖案69〇 便可利用微影術而形成。第二圖案690可包含例如一渠溝用之'圖 案。如圖6G所示,一旦第二圖案690形成於感光材料層646時,' 二圖案690便可利用例如乾式電漿蝕刻的方式而轉移至第二非曰曰 碳層641以及第二硬遮罩635以及第二介電層630上。如圖61^所=, 殘餘之感光材料646便可利用熟習該技藝者熟知之電漿或其’ 學技術來去除。再之後,第二金屬罩層626便可移除,如此'而完成 21 !28〇987 例如介層結構65G (以金屬填滿) 盖 金屬填充)的形成。每仙*減、、,°構655 (卓備用於 之步驟JΊ糊處理均可包含類似於上述說明 全屬丨ί—Γ㈣巾’渠溝結構655係填滿以例如銅的 者本發明之特定實施例’對於熟習本技藝 i、i_ ίί之新穎性教導以及優點之情形下,ΐ於 ====改均屬可行。因此,所有此等修改均應 五、【圖式簡單說明】 在該所附之圖示中: 形成圖圖’朗根據本發明之—實施例中之 中,,朗根據本發明之另—實施例 圖3A〜= 貝,簡略示意圖’說明根據本發明之再另 例 中’形成一内連線結構的方法; 、 圖4A〜4J顯不簡略示意圖,說明根才 例中,形成一内連線結構的方法; 心人冉另一只訑 圖5A〜5D顯示簡略示意圖,說明根據本發明之又 例中,形成一内連線結構的方法; 另 Λ 圖6Α〜61顯示簡略示意圖,說明根據本發明 例中,形成一内連線結構的方法。 丹另一 Λ訑 元#蒋號說明: 100 薄膜堆疊 110 基板 112 金屬線 22 金屬罩層 第一介電層 餘刻停止層 第二介電層 硬遮罩 非晶碳層 感光材料層 感光材料層 渠溝結構 介層結構 第一圖案 第二圖案 薄膜堆疊 基板 金屬線 金屬罩層 第一介電層 蝕刻停止層 第二介電層 硬遮罩層 非晶礙層 感光材料層 感光材料層 介層結構 渠溝結構 BARC層材料 第一圖案 第二圖案 薄膜堆疊 23 基板 金屬線 金屬罩層 介電層 硬遮罩層 非晶碳層 感光材料層 感光材料層 介層結構 渠溝結構 BARC 層 第一圖案 第二圖案 薄膜堆疊 基板 金屬線 金屬罩層 第一介電層 名虫刻停止層 第二介電層 硬遮罩層 第一非晶碳層 第二非晶碳層 感光材料層 感光材料層 渠溝結構 介層結構 第一圖案 第二圖案 24 薄膜堆疊 基板 金屬線 金屬罩層 第一介電層 第二介電層 硬遮罩層 第一非晶碳層 第二非晶碳層 感光材料層 感光材料 介層結構 渠溝結構 第一圖案 第二圖案 薄膜堆疊 基板 金屬線 第一圖案 金屬罩層 第一介電層 硬遮罩層 第二金屬罩層 第二介電層 硬遮罩層 第一非晶礙層 第二非晶碳層 感光材料層 感光材料層 25 1280987 650 介層結構 655 渠溝結構 680 第一圖案 690 第二圖案

Claims (1)

  1. 附件、 第94102320號專利申請案中文申請專概園修正推 -迤年β日^^訂
    2· 3. 4. 5·· 8. 申請專利範圍: 一種半導體裝置,包含: a)· —半導體基板; 堆叠,形成於該半導體基板上,並包含—待處理 〇·-雙硬遮罩,包含-非晶碳層以及一位於 薄膜之間的下方硬遮罩層,該硬遮 3-非晶碳層’該非晶碳層係具有實f上*^ ? 之光學特性相匹配之光學特性;及 一 μ溥膜堆璺 d).形成於該薄膜堆疊内之金屬内連線的 如申請專利範_項之半導體裝置,二構。 ^形成咖樹 如申請專利範圍第1項之半導體裝置, 該金屬賴結構的-化學機械研磨層包含 如申請專利範圍第1項之半導體裝置,其中τ : 一防反射包覆層。 日日石反層包含 如申請專利範圍第1項之丰導辦駐罢甘 折射係數以域光魏觀學特性包含 如申請專利範圍第5項之半導护奘 扣⑷之範_值。牛¥體衣置其巾該折射係數包含 如申請專利範圍第5項之半導髀奘罢甘 在0.W.0之範圍的值h體衣置’其中該消光係數包含 如申請專利範圍第5項之丰導騁駐 ;細脈… 之半導體装置,其中該折射係數包含 如申請專繼圍第1奴伟财置,射麵晶碳層包含 27 10, !28〇987 11. 12. 13. 14. 15. 16. 17. 18. 19. 錢⑽賴加触錢相沈積之半導體裝置’其中該非晶碳層係用 嵌及該金屬镶 之半導趙裝置’其中該金輪結構半導舰,其中該金屬鎮嵌結構 2請專利顧第丨項之半導體裝置,其中該待處理 更包含一低k介電層。 、、 如申請專利範圍第1項之半導體裝置,其中該罩 一氮化物。 ^ ^ 5 ,申請專利範圍第1項之半導體裝置,其中該硬遮罩層包含 氮化石夕(Si3N4)、或财火金屬、或氮化组(TaN)類^ 金屬氮化物至少其中之一。 如申請專利範圍第1項之半導體裝置,其中該硬遮 一碳化物。 如申明專利範圍第1項之半導體裝置,其中該硬遮罩声包 碳化矽(SiC)或碳氧化矽(Sico)至少其中之一。曰 一種積體電路結構的形成方法,包含如下步驟: a) ·在一基板上形成一介電材料層; b) .在該介電材料層上形成一硬遮罩層; C).在該硬ϋ罩層上形成-非晶碳材料層,該非晶碳材料 層係具有實質上與該薄膜堆疊之光學特性相匹配之 學特性;以及 d)·形成一金屬内連線用之金屬鑲嵌結構,其方式為將該 非晶碳材料層當作一用來形成内連線結構之 構、-雙硬遮罩之上層、-防反射包覆層,以及在:: 28 1280987 m 磨(C則製程中當作—犧牲層,其中, 6亥硬‘罩層係用作為一 CMP停止層。 2。· 範圍第19項之積體電路結“形成方法,更包 e) _f該非日日日销料層上形成—感光材料層,其 曰以及該非晶碳層之光學特性大致相同;以/及 y f) .將該感光材料層暴露於—輻射圖案 的形成步驟可促成在該感光材料層中形= 射圖案相同之圖案。 ’ Μ上興抓 2〇項之積體電路結構的形成方法,其中 形成步驟包含使用化學氣相沈積(CVD) 少其中之—來沈積該非晶碳材料層。 Ϊί屬2積體電路結構的形成方法,其中 鑲嵌結構整合在一起。 非曰曰石厌層與-早金屬 姓如申請專利範圍第Η項之積體電路結 該if^嵌結構之形成步驟包含將—非晶j盘-雔i屬 鑲嵌結構整合在一起。 日日奴層舁又金屬 如申明^利範圍第23項之積體電路結 , 該金屬鑲嵌結構之形成步驟包含將^声/ 乂 鑲嵌結構整合在-起,該轉屬禮山^曰石反層與一雙金屬 層優先方法、一全;層 =以及-.埋入介層遮 該硬遮罩層 形成方法,其中 及電聚加強CVD至少其 線結構的形成方法,包含 ^. S a).準備-薄膜堆疊,該薄膜堆疊包含1有金屬線之基 21. 22 24. 25 29 26. 1280987 m 27. m 28. 29. m i板上之金屬罩層、-形成於該金屬 iii弟一介電層、一形成於該第一介電層上之第 -”電層、-形成於該第二介電層上之硬遮罩層、一 遮罩ί上之第—非晶碳層…形成於該第 石山爲Γ上,第二非晶碳層以及—形成於第二非晶 石反層上之弟一感光材料層; b)·在該第一感光材料層上形成一第一圖案· c〇·將該圖案轉移至該第二非晶碳層; d) ·在該第二非晶碳層上形成一第二感光材料層; e) .在該第二感光材料層上形成一第二圖案; f) ·將該第二圖案轉移至該第一非晶碳層· g) ·將該第二圖案轉移至該第二介電層;95 h) ·將第二圖案轉移至該第一介電層;, 〇·將該第一圖案轉移至該第一非晶碳層; j) ·將該第一圖案轉移至該硬遮罩層;曰’ k) ·將該第一圖案轉移至該第二介電層;以及 l) ·將该第二圖案轉移至該金屬罩層。 專利範圍第26項之内連線結構的形成方法,更包含 料層移至第二非晶碳層之後,將該第-感光材 ti?專,㈣26或27項之内連線輯的形成方法,更 二圖案轉移至第二介電層之後,將該第二感光 材料層移除的步驟。 =請專利範圍第26或27項之内連線結構的成 包含如下步驟: A ^ a) .製備該薄膜堆疊,使其具有一形成於該第一介電層上 ^蝕刻停止層,而該蝕刻停止層之形成係在該第^介 廷層形成於該蝕刻停止層上之前;以及 b) ·將該第二圖案轉移至該蝕刻停止層。 30 1280987 30. 31 % 32. 如申請專利範圍第26項之内連線結構的形成方法,更包含 如下步驟: a) ·將該第一及第二圖案填滿金屬;以及 b) ·利用將至少一該非晶碳層當作一犧牲層以及將該硬遮 罩層當作一停止層而對該金屬進行化學機械研磨。 一種内連線結構的形成方法,包含如下步驟: a) ·準備一薄膜堆疊,該薄膜堆疊包含一具有金屬線之基 板、一形成於該基板上之金屬罩層、一形成於該金屬 罩層上之第一介電層、一形成於該第一介電層上之非 晶碳2、-形成於該非晶碳層上之第一感光材料層; b) ·在該第一感光材料層上形成一第一圖案; c) ·將該第一圖案轉移至該非晶碳層; d) ·在該=晶碳層以及該第一圖案上形成一第二介電層; e) ·在该第二介電層上形成一硬遮罩; 0·在該硬遮罩上形成一第二非晶碳層; g) ·在:亥,二非晶碳層上形成一第二感光材料層; h) ·在该^二感光材料層上形成一第二圖案; 0·將该第二圖案轉移至該第二非晶碳層; J·)·將第二圖案轉移至該硬遮罩層; k) .將该第_圖案轉移至該第二介電層; l) .將該第-圖案轉移至該第一介電層;以及 m) ·將該第一圖案移轉至該金屬罩層。 ίΐϊί利範圍第31項之内連線結構的形成方法,更包含 a) .將該第-及第二圖案填滿金屬;以及 b) . 層當作^牲層以及將該硬遮罩層當 Λ層而對該金屬進行化學機械研磨。 的戦方法,包含如下步驟: a, 賴堆疊,該薄膜堆疊包含-具有金屬線之基 31 33. 1280987 ,、一形成於該基板上之金屬罩層、一 】上之第一介電層、一形成於該第_介屑:金屬 該非晶碳層上之第 F曰曰j以及—形成於 每m u咖斗一 U尤材科層,该非晶碳屑仫百+ Λ貝上舁该溥膜堆疊之光學特性相匹配之朵‘糸/、有 )·在,第一感光材料層上形成-第-圖案·+特性; C) ·將該第-圖案轉移至該非晶碳層;… d) ·將該第一圖案轉移至該硬遮罩層; e) ·將该第一圖案轉移至該第一介電層; 坏將該第一圖案轉移至該金屬罩層; g)·移除該非晶碳層; ΐ該第—介電相及該金屬罩層中之第填滿金 1)·在該薄膜堆疊中形成一第二金屬罩層· 2在該第二金屬罩層上形成—第二介&· )·在該第二介電層上形成一第二硬遮罩 J 硬遮罩層上形成一第二非晶i層; % 34. η)才^~非日曰%層上形成—第二感光材料層; η ·在该弟二感光材料層上形成一第二 〇)·將該第二圖案轉移至該第二非晶碳層… Ρ)·將該第二圖案轉移至該第二硬遮罩^ q) ·將該第二圖案轉移至該第二介電層^及 r) ·將該第二随轉移至該第二金屬罩層。 利範圍第33項之内連線結構的形成方法 ’更包含 a) .將6玄弟一圖案填滿金屬;以及 b) .i2該第二非晶碳層當作一犧牲層以及將該第二硬 ‘由=層當作—停止層㈣該金屬進行化_械研磨。 如申❺專利範圍第28項之内連線結構的形成方法,更包含 32 35. 1280987 如下步驟: a) .製備該薄膜堆疊,使其具有一形成於該第一介電層上 之餘刻停止層,而該钱刻停止層之形成係在該第二介 電層形成於該钱刻停止層上之前;以及 b) .將該第二圖案轉移至該蝕刻停止層。 十一、圖式:
    33
TW094102320A 2004-01-30 2005-01-26 Structure comprising amorphous carbon film and method of forming thereof TWI280987B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/766,872 US7115993B2 (en) 2004-01-30 2004-01-30 Structure comprising amorphous carbon film and method of forming thereof

Publications (2)

Publication Number Publication Date
TW200530422A TW200530422A (en) 2005-09-16
TWI280987B true TWI280987B (en) 2007-05-11

Family

ID=34807608

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094102320A TWI280987B (en) 2004-01-30 2005-01-26 Structure comprising amorphous carbon film and method of forming thereof

Country Status (4)

Country Link
US (1) US7115993B2 (zh)
JP (1) JP2007523034A (zh)
TW (1) TWI280987B (zh)
WO (1) WO2005074449A2 (zh)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7504727B2 (en) * 2004-05-14 2009-03-17 International Business Machines Corporation Semiconductor interconnect structure utilizing a porous dielectric material as an etch stop layer between adjacent non-porous dielectric materials
US7371461B2 (en) * 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
US7291553B2 (en) * 2005-03-08 2007-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming dual damascene with improved etch profiles
US7857982B2 (en) * 2005-07-19 2010-12-28 Micron Technology, Inc. Methods of etching features into substrates
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7422975B2 (en) * 2005-08-18 2008-09-09 Sony Corporation Composite inter-level dielectric structure for an integrated circuit
JP4543392B2 (ja) * 2005-11-01 2010-09-15 エルピーダメモリ株式会社 半導体装置の製造方法
US7602068B2 (en) 2006-01-19 2009-10-13 International Machines Corporation Dual-damascene process to fabricate thick wire structure
US7563688B2 (en) * 2006-02-24 2009-07-21 Hynix Semiconductor Inc. Method for fabricating capacitor in semiconductor device
US20070243714A1 (en) * 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
JP2008028022A (ja) * 2006-07-19 2008-02-07 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US8129282B2 (en) * 2006-07-19 2012-03-06 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US20080124912A1 (en) * 2006-08-01 2008-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor methods
US7960797B2 (en) * 2006-08-29 2011-06-14 Micron Technology, Inc. Semiconductor devices including fine pitch arrays with staggered contacts
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
JP4237216B2 (ja) * 2006-10-05 2009-03-11 Necエレクトロニクス株式会社 半導体装置の製造方法
WO2008118535A2 (en) * 2007-02-05 2008-10-02 Bae Systems Information And Electronic Systems Integration Inc. Post-supported microbolometer pixel
US7763987B2 (en) 2007-02-27 2010-07-27 Qimonda Ag Integrated circuit and methods of manufacturing a contact arrangement and an interconnection arrangement
KR101102422B1 (ko) * 2007-02-28 2012-01-05 도쿄엘렉트론가부시키가이샤 비결정 탄소막의 형성 방법, 비결정 탄소막, 다층 레지스트막, 반도체 장치의 제조 방법 및 컴퓨터 가독 기억 매체
KR20100028544A (ko) * 2007-05-03 2010-03-12 램 리써치 코포레이션 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어
US7858514B2 (en) 2007-06-29 2010-12-28 Qimonda Ag Integrated circuit, intermediate structure and a method of fabricating a semiconductor structure
US8021933B2 (en) 2007-08-29 2011-09-20 Qimonda Ag Integrated circuit including structures arranged at different densities and method of forming the same
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8618663B2 (en) 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US8298931B2 (en) * 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US9136463B2 (en) * 2007-11-20 2015-09-15 Qualcomm Incorporated Method of forming a magnetic tunnel junction structure
DE102008016425B4 (de) * 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
JP4882055B2 (ja) * 2008-04-11 2012-02-22 スパンション エルエルシー 半導体装置の製造方法
JP4876231B2 (ja) * 2008-04-11 2012-02-15 スパンション エルエルシー 半導体装置の製造方法
US20090266790A1 (en) * 2008-04-28 2009-10-29 Hamid Balamane Method of making a magnetoresistive reader structure
US9245792B2 (en) * 2008-07-25 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming interconnect structures
US8227339B2 (en) * 2009-11-02 2012-07-24 International Business Machines Corporation Creation of vias and trenches with different depths
JP5411171B2 (ja) * 2010-02-05 2014-02-12 東京エレクトロン株式会社 アモルファスカーボン膜を含む積層構造を形成する方法
US8592321B2 (en) * 2011-06-08 2013-11-26 United Microelectronics Corp. Method for fabricating an aperture
US8759234B2 (en) 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
JP5807511B2 (ja) 2011-10-27 2015-11-10 東京エレクトロン株式会社 成膜装置及びその運用方法
JP5772508B2 (ja) 2011-10-27 2015-09-02 東京エレクトロン株式会社 成膜装置及びその運用方法
US9230854B2 (en) 2013-04-08 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9502365B2 (en) * 2013-12-31 2016-11-22 Texas Instruments Incorporated Opening in a multilayer polymeric dielectric layer without delamination
FR3018951B1 (fr) * 2014-03-18 2017-06-09 Commissariat Energie Atomique Procede de gravure d'un materiau dielectrique poreux
CN105226049B (zh) * 2014-06-26 2019-02-26 中芯国际集成电路制造(上海)有限公司 用于互连层结构的掩膜组件及互连层的制作方法
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9859127B1 (en) * 2016-06-10 2018-01-02 Lam Research Corporation Line edge roughness improvement with photon-assisted plasma process
EP3494418A4 (en) * 2016-08-02 2020-03-11 The Government of the United States of America, as represented by the Secretary of the Navy MANUFACTURING METHOD FOR DIGITAL ENGRAVING OF NANOMETRIC SCALE LEVEL STRUCTURES
US11764062B2 (en) * 2017-11-13 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US10529586B2 (en) * 2018-05-25 2020-01-07 Nanya Technology Corporation Method of manufacturing semiconductor device
US11942371B2 (en) * 2020-09-29 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of via opening

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
JP4058327B2 (ja) * 2002-10-18 2008-03-05 富士通株式会社 半導体装置の製造方法
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer

Also Published As

Publication number Publication date
WO2005074449A2 (en) 2005-08-18
US20050167839A1 (en) 2005-08-04
US7115993B2 (en) 2006-10-03
JP2007523034A (ja) 2007-08-16
WO2005074449A3 (en) 2007-03-29
TW200530422A (en) 2005-09-16

Similar Documents

Publication Publication Date Title
TWI280987B (en) Structure comprising amorphous carbon film and method of forming thereof
TWI307544B (en) Structure comprising tunable anti-reflective coating and method of forming thereof
TWI242259B (en) Manufacturing method of semiconductor device
US7285853B2 (en) Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
TWI241682B (en) A method for forming dummy structures for improved CMP and reduced capacitance
US7638440B2 (en) Method of depositing an amorphous carbon film for etch hardmask application
US8383508B2 (en) Method for fabricating opening
TW200428581A (en) Method for forming metal interconnect structures
US6734116B2 (en) Damascene method employing multi-layer etch stop layer
US20060094204A1 (en) Planarization material, anti-reflection coating material, and method for manufacturing semiconductor device thereby
US6664177B1 (en) Dielectric ARC scheme to improve photo window in dual damascene process
TWI288459B (en) A dual-damascene process for manufacturing semiconductor device
TW200818261A (en) Method of patterning an anti-reflective coating by partial etching
TW200411770A (en) Method for manufacturing a semiconductor device
TWI344676B (en) Poly silicon hard mask
TW202123335A (zh) 形成半導體裝置結構的方法
TWI222171B (en) Method and structure of interconnection with anti-reflection coating
TWI242835B (en) Structure of interconnects and fabricating method thereof
TWI309448B (zh)
TWI305030B (en) Method for fabricating single-damascene structure, dual damascene structure, and opening thereof
TW423106B (en) Manufacturing method of dual damascene structure
TW502383B (en) Fabrication method of dual damascene structure without trench-filling material
KR100458589B1 (ko) 반도체 소자 제조 방법
TWI356455B (en) Semiconductor device and method of making the same
TW201222724A (en) Dual damascene process

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees