TWI305030B - Method for fabricating single-damascene structure, dual damascene structure, and opening thereof - Google Patents

Method for fabricating single-damascene structure, dual damascene structure, and opening thereof Download PDF

Info

Publication number
TWI305030B
TWI305030B TW95123486A TW95123486A TWI305030B TW I305030 B TWI305030 B TW I305030B TW 95123486 A TW95123486 A TW 95123486A TW 95123486 A TW95123486 A TW 95123486A TW I305030 B TWI305030 B TW I305030B
Authority
TW
Taiwan
Prior art keywords
layer
forming
hard mask
opening
oxynitride
Prior art date
Application number
TW95123486A
Other languages
Chinese (zh)
Other versions
TW200802699A (en
Inventor
Ming Hsing Liu
Chia Hsiun Yu
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW95123486A priority Critical patent/TWI305030B/en
Publication of TW200802699A publication Critical patent/TW200802699A/en
Application granted granted Critical
Publication of TWI305030B publication Critical patent/TWI305030B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

* I3050Md .2005-0737 19092twdl.doc/e 九、發明說明: 【發明所屬之技術領域】 本發明是有關於一種内連線結構及其開口的形成方 法,且特別是有關於一種單鑲嵌結構與雙鑲嵌結構及其開 口的形成方法。 〃 【先前技術】 隨著半導體技術的進步,半導體元件的尺寸也不斷的 縮小,而進入深次微米(Deep Sub-Micron)的領域中。當積 • 體電路的積集度增加時,晶片的表面無法提供足夠的:積 來製作所需的内連線(Interconnect),因此為了配合半導體 元件縮小後所增加的内連線,多層導體連線的設計,便成 為超大型積體電路技術所必須採用的方式。 奴而5,多重内連線大多是利用鑲嵌製程來形成, 其中包括單鑲嵌(singie_damascene)製程或雙鑪麥 ――製程。目前’賴製程於介電I定II ϋ(或開Π)的方式是’先在介電層上形成氮化欽層 _ 1 )。然:後’在氮化鈦層上形成具有溝渠(或開口)圖案之 ° ΐ著’將光阻層之溝渠(或開口)圖案轉移至氮化 、。接I ’以具有溝渠(或開口)圖案之氮化鈦層當做硬 制,’於介電層中定義出溝渠(或開口) 。而且’由於黃光 $ _、限制’麵嵌製程製程巾通常會在氮化鈦層上形成 θ電水增強式氣化層(plasma_enhanced oxide, 屉访φ腺)以提回製程窗口(pr〇cesswindow)’並以氮化鈦 層與電蒙增強式氧化層作為鑲嵌製程中之硬罩幕層。 13 Ο503^Θ〇-2〇〇5-〇73·7 19092twdl.doc/e 13 Ο503^Θ〇-2〇〇5-〇73·7 19092twdl.doc/e* I3050Md .2005-0737 19092twdl.doc/e IX. Description of the Invention: [Technical Field] The present invention relates to an interconnect structure and a method for forming the opening thereof, and more particularly to a single damascene structure and A dual damascene structure and a method of forming the opening thereof. 〃 [Prior Art] With the advancement of semiconductor technology, the size of semiconductor components has been shrinking, and it has entered the field of Deep Sub-Micron. When the accumulative degree of the integrated circuit increases, the surface of the wafer does not provide enough: to make the required interconnect, so in order to match the increased interconnects after the semiconductor components are reduced, the multilayer conductor The design of the line has become a must for ultra-large integrated circuit technology. Slave 5, multiple interconnects are mostly formed using the inlay process, including single inlay (singie_damascene) process or double furnace - process. At present, the method of dielectric lithography is to form a nitride layer _ 1 on the dielectric layer. However, the pattern of having a trench (or opening) is formed on the titanium nitride layer to transfer the trench (or opening) pattern of the photoresist layer to nitriding. The titanium nitride layer having a trench (or opening) pattern is hardened, and a trench (or opening) is defined in the dielectric layer. And 'Because the yellow light $ _, limit 'face-in-process process towel usually forms a θ electro-hydraulic enhanced gasification layer (plasma_enhanced oxide) on the titanium nitride layer to bring back the process window (pr〇cesswindow ) and use a titanium nitride layer and an electric-enhanced oxide layer as a hard mask layer in the damascene process. 13 Ο503^Θ〇-2〇〇5-〇73·7 19092twdl.doc/e 13 Ο503^Θ〇-2〇〇5-〇73·7 19092twdl.doc/e

然而,在鑲嵌製程中仍有一些問題待解決。舉例來說, 在介電層中定義出溝渠(或開口)之步驟前,必須經過二次 蝕刻步驟,才能夠在硬罩幕層中定義出溝渠(或開口)圖 案。所謂二次蝕刻步驟包括:第一次蝕刻步驟以及第二次 敍刻步驟。其巾,第—次賴步驟為,以光阻層為軍幕, 移,部分賴增強式氧化層,至曝露出氮化鈦層表面。第 -次钱刻步驟為,㈣部分氮化鈦層,至曝露出介電層表 面。因此,習知的鑲嵌製程需經過相當多的步驟才能完 且會耗費較多的製程時間(cycletime)。 ^ 【發明内容】 、本發明的目的就是在提供一種單鑲嵌開口的形成方 法,能夠簡化製程步驟,且可節省製程時間。 本發明的又-目的是提供一種單鑲谈結構,同樣能鈴 簡化製程步驟,且可節省製程時間。 、本發明的再一目的是提供一種雙鑲嵌開口的形成方 法此夠簡化製程步驟,且可節省製程時間。However, there are still some problems to be solved in the mosaic process. For example, before the step of defining a trench (or opening) in the dielectric layer, a second etching step must be performed to define a trench (or opening) pattern in the hard mask layer. The so-called secondary etching step includes a first etching step and a second etching step. The towel, the first step, is to use the photoresist layer as a military curtain, moving, and partially relying on the enhanced oxide layer to expose the surface of the titanium nitride layer. The first-order step is (4) a portion of the titanium nitride layer to expose the surface of the dielectric layer. Therefore, the conventional damascene process requires a considerable number of steps to complete and consumes a lot of cycle time. SUMMARY OF THE INVENTION The object of the present invention is to provide a method for forming a single damascene opening, which simplifies the process steps and saves process time. It is yet another object of the present invention to provide a single inlay structure that also simplifies the process steps and saves process time. It is still another object of the present invention to provide a method of forming a dual damascene opening which simplifies the process steps and saves process time.

本發明的另—㈣是提供—種雙鑲嵌結構,能夠簡化 製程步驟,且可節省製程時間。 本發明提出-種單鑲嵌開口的形成方法。此方法 Ϊ底中已形成有導線。然後,於基底上依序形 成阻障層、介電層、金屬硬罩幕層、氮氧切層、 ,層,圖案化光阻層。接著,妾移除未被圖案化二 曰,盖住的底部抗反射層、氮氧切層與金屬硬罩幕層, 至暴露出部分介電層表面。之後,移除圖案化光阻層^底 I305〇a〇D 2005-0737 1909'2twdl.doc/e 部才几反射層。繼之,以氮氧化矽層與金屬硬罩幕為罩幕, 移除部分的介電層與部分的阻障層,以形成暴露出導線的 表面之鑲嵌開口。 、依照本發明之一實施例所述,上述之單鑲喪開口的形 成方^中,於形成氮氧化石夕層之後,以及形成底部抗反射 層之前二還可以形成一層氧化石夕層。在另一實施例中,也 可以對氮氧化石夕層進行一表面改質製程,以於氮氧化石夕層 上形成-氧化層,其巾表面改質製程包括以含氧氣體進行 —電聚製程。依照本發明之一實施例所述,上述之金屬鎮 嵌開口的形成方法中,導線的材料例如故。金屬硬 層的材料例如是組(Ta)、氮化组(TaN)、鈦⑼、氮化欽 (=N)、鶴(W)或氮化鶴(WN)。介電層的材料例如是低介電 常數材料。 .. · · .·* 本發明提出一種單鑲嵌結構,此單鑲嵌結構包括美 】、阻障層、介電層、金屬硬罩幕層、氮氧化石夕層盘導i 滑。其中’基底中配置有導線。阻障層位於基底上 =位於阻障層上。金;1硬罩幕層位於介電層上。_ =位於金屬硬罩幕層上。其中,氮氧切層、金屬硬罩幕 層、介電層餘障層巾具有暴露部分導線的表面之镶嵌開 口。導體層配置於鑲嵌開口中。 …依照本發明之一實施例所述,上述之單镶嵌結構中, 遇可包括-層氧化石夕層西己置於t氧化石夕層上。在另一實施 例中’更可包括—層氧化層配置於氮氧切層上。β 依照本發明之-實施例所述,上述之單鑲嵌結構中, 13 Ο 5 Οδβ:Γ)-2005-ι 073*7 190Si2twd 1 .doc/e 糾^ 常數材料。金屬硬罩幕声的材 枓例如是组、氮化钽、鈦、氮化欽 史罩幂層的材 材料例如是銅。 鎢或氮化鎢。導線的 本發明提出一種雙鑲嵌開口的形 提供-基底,基底中已形成有一導:成=’=,先Another (4) of the present invention provides a dual damascene structure that simplifies the process steps and saves process time. The present invention proposes a method of forming a single damascene opening. This method has formed a wire in the bottom. Then, a barrier layer, a dielectric layer, a metal hard mask layer, a oxynitride layer, a layer, and a patterned photoresist layer are sequentially formed on the substrate. Next, the bottom anti-reflective layer, the oxynitride layer and the metal hard mask layer, which are not covered by the patterned ruthenium, are removed to expose a portion of the surface of the dielectric layer. After that, the patterned photoresist layer I305〇a〇D 2005-0737 1909'2twdl.doc/e is removed. Subsequently, a portion of the dielectric layer and a portion of the barrier layer are removed by using a layer of ruthenium oxynitride and a metal hard mask as a mask to form an inlaid opening exposing the surface of the wire. According to an embodiment of the present invention, in the forming manner of the single inlaid opening, a layer of oxidized stone may be formed after forming the layer of oxynitride and forming the bottom anti-reflective layer. In another embodiment, a surface modification process may be performed on the nitrous oxide layer to form an oxide layer on the oxynitride layer, and the surface modification process of the towel includes performing oxygen-containing gas-electropolymerization. Process. According to an embodiment of the present invention, in the method of forming the metal-inserted opening, the material of the wire is, for example. The material of the hard metal layer is, for example, a group (Ta), a nitrided group (TaN), a titanium (9), a nitrided (=N), a crane (W) or a nitrided crane (WN). The material of the dielectric layer is, for example, a low dielectric constant material. The present invention proposes a single damascene structure comprising a beauty barrier layer, a dielectric layer, a metal hard mask layer, and a nitrous oxide layer. Wherein the conductor is disposed in the substrate. The barrier layer is on the substrate = on the barrier layer. Gold; 1 hard mask layer is located on the dielectric layer. _ = located on the metal hard mask layer. Wherein, the oxynitride layer, the metal hard mask layer, and the dielectric layer barrier layer have inlaid openings exposing the surface of the portion of the wires. The conductor layer is disposed in the inlaid opening. According to an embodiment of the present invention, in the single damascene structure described above, the layer may be included on the t-oxide layer. In another embodiment, the coating layer may be further disposed on the oxynitride layer. β According to the embodiment of the present invention, in the above single damascene structure, 13 Ο 5 Ο δβ: Γ)-2005-ι 073*7 190Si2twd 1 .doc/e constant constant material. The material of the metal hard mask sound is, for example, a group, a tantalum nitride, a titanium, a nitride material, and a material such as copper. Tungsten or tungsten nitride. The present invention provides a dual damascene opening-shaped providing substrate having a guide formed in the substrate: ===, first

序形成阻障層、介電層、金屬硬罩幕層、ί氧‘H 被第一圖案化光阻層覆蓋住的第—底^ 除未 屬硬ί幕層’以形成暴露出部分介電層表面之 一第一開口。之後,移除第一圖案化 =層。繼之,於基底上方形成第二圖案化光阻層& = 及部分介電層,[以第二圖案化光= =幕,移除。P分的介電層,以於介電層中形成第二開口。 ===光阻層。隨後,以_層與金 屬更罩幕層為罩幕’移除部分的介電層與部分的阻障声, 以形成暴露出導線表面之雙鑲嵌開口。 θ 依照本發明之-實施例所述,上述之雙镶嵌開口的 、方法中’於形就氧切層之後,以及形成第—底 反射層之前,還可以形成—層氧化發層。在另一實施例二 也可以對氮氧切料行—表破㈣程。以於氮氧 層上形成—氧化層’其中表面改質製程包括以含氧氣體淮 行一電漿製程。 史 、依照本發明之-實施例所述,上述之雙鑲嵌開口的形 成方法中’導線的材料例如是銅。金屬硬罩幕層的材料^ D-2005-0737 1909'2twdl.doc/e 如是輕、氮化组、鈦、氮化鈦'鶴或氮 料例如是低介電常數材料。 )丨電層的材 本發明提出-種雙鑲嵌結構,此雙鑲 且障層、介電層、金屬硬軍、氣氧化石夕層;^ /、中’基底中配置有導線。阻障層位於基底上。^ 於阻障層上。金屬硬罩幕層位 曰 於金屬硬罩幕層上。其中,氮t ;^上氮氧化石夕層位 八帝ι 魏讀、金屬硬罩幕声盥 :二二具有暴露*導線的表面之雙賴開σ。導體二 置於雙鑲嵌開口中。 等體層配 還可所述’上述之雙_構中, 氧_配置魏氧切層上m 例中,也可包括-層氧化層配置於氮氧化石夕層上。^ 依照本發明之一實施例所述,上述之 :電層的材料例如是低介電常數材料。金屬:罩材 材料例如是銅。 氮化1 太鹤或氮化鶴。導線的 本伽之方法躲構是錢氧 =式氧化卿‘de),本發明在介電 ^單—㈣步驟,即可 曰肀疋義出溝渠(或開口)圖案。 構能夠簡化製程步戰’且可節省製鱗間。方法與結 易懂為他目的、特徵和優點能更明顯 明如下。實施例,並配合所附圖式,作詳細說Forming a barrier layer, a dielectric layer, a metal hard mask layer, and a first layer of the photoresist layer covered by the first patterned photoresist layer to form an exposed portion of the dielectric layer One of the first openings of the layer surface. After that, the first patterned layer is removed. Then, a second patterned photoresist layer & = and a portion of the dielectric layer are formed over the substrate, [with a second patterned light = = screen, removed. a P dielectric layer to form a second opening in the dielectric layer. === photoresist layer. Subsequently, a portion of the dielectric layer and a portion of the barrier sound are removed with the _ layer and the metal mask layer as a mask to form a dual damascene opening exposing the surface of the wire. θ According to the embodiment of the present invention, in the above method of dual damascene opening, a layer of oxidized hair layer may be formed after the oxygen-cut layer is formed and before the first-bottom reflective layer is formed. In another embodiment, the oxynitride cut-off can also be broken (four). Forming an oxide layer on the oxynitride layer wherein the surface modification process comprises a plasma process with an oxygen-containing gas. According to the embodiment of the present invention, in the above-described method of forming the dual damascene opening, the material of the wire is, for example, copper. Material of metal hard mask layer ^ D-2005-0737 1909'2twdl.doc/e If it is a light, nitrided group, titanium, titanium nitride 'heap or nitrogen material, for example, a low dielectric constant material. The material of the electric layer of the present invention proposes a double damascene structure, the double-inserted barrier layer, the dielectric layer, the metal hard army, and the gas oxidized stone layer; the wires are disposed in the substrate. The barrier layer is on the substrate. ^ On the barrier layer. The metal hard mask layer is on the metal hard mask layer. Among them, nitrogen t; ^ on the oxynitride eve layer, the eight emperors wei read, metal hard mask vocal 盥: two two with exposed * wire surface of the double σ. The conductor 2 is placed in the double damascene opening. The equal-layer layer may also be disposed in the above-mentioned double-layer structure, in the case of m in the oxygen-disposing Wei oxygen-cut layer, or may include the layer-oxidation layer disposed on the layer of oxynitride. According to an embodiment of the invention, the material of the electrical layer is, for example, a low dielectric constant material. Metal: The cover material is, for example, copper. Nitriding 1 Taihe or nitride crane. The method of the gamma of the wire is argon-type oxidation, and the invention is in the dielectric-single-(four) step, and the ditch (or opening) pattern can be deduced. The structure can simplify the process of the process and save the scale. The method and the conclusions are more obvious as his purpose, characteristics and advantages are as follows. The embodiment, in conjunction with the drawings, is described in detail.

13050^0^15-2005-0737 19092twdl.doc A13050^0^15-2005-0737 19092twdl.doc A

13050^0^15-2005-0737 19092twdl.doc A13050^0^15-2005-0737 19092twdl.doc A

【實施方式】 圖1A至® iD為健、本發明之—實施 嵌開^的形成方法之流程剖面示賴。 之早鑲 已形^道1參照圖U,提供一基底1〇0。此基底100中 认成,線102,導線1〇2的材料例如是銅。 声uJm1續參照圖1A,於基底刚上依序形成阻障 “二H 金屬硬罩幕層刪、氮氧化發層110、 底。Μ几反射層112以及圖案化光阻層114。 其中’阻障層104的材料例如是氮化邦叫或且他合 適之材料’其形成方法例如是化學氣相沈積法。轉層10口4 可以避免銅表面氧化及避免銅擴散到介電層1〇6。介曰電層 娜例如是低介電常數介電層,低介電常數介電層的材二 例如是低介電常數材料包括無機類的材料,例如氫化石夕倍 半氧化物(HSQ)、純的氧化石夕(FSG)等,[Embodiment] Figs. 1A to 1D are schematic cross-sectional views showing the method of forming the embedded method of the present invention. The early inlay has been formed. Referring to Figure U, a substrate 1〇0 is provided. The material of the substrate 100 is considered to be, the wire 102, and the material of the wire 1 〇 2 is, for example, copper. The sound uJm1 continues to refer to FIG. 1A, and a barrier layer "two H metal hard mask layer, oxynitride layer 110, a bottom, a plurality of reflective layers 112, and a patterned photoresist layer 114 are formed on the substrate." The material of the barrier layer 104 is, for example, a nitride or a material suitable for it, such as a chemical vapor deposition method. The transfer layer 10 can prevent copper surface oxidation and prevent copper from diffusing to the dielectric layer 1〇6. The dielectric layer is, for example, a low-k dielectric layer, and the low-dielectric dielectric layer is, for example, a low dielectric constant material including an inorganic material such as hydrogenated sesquioxide (HSQ). Pure oxidized stone eve (FSG), etc.

才=】如聚芳香烯擎e)、芳香族碳氫化合物=材 1亞,香基鍵(Parylene)等。介電層j 〇6的形成方法例如是 化學氣相沈積法。在-一實施例中,介電層1〇6還可例如是 由-層低介電常數介電層與—層絕緣層所構成。此絕緣層 的,質例如是以四乙氧基矽烷(TE〇S)為反應氣體源形成 之氧化矽,而其形成方法例如是化學氣相沈積法。絕緣層 又可作為化學機械研磨終止層,以避免進行化學機械研磨 法(CMP)時,可能會有研磨到介電層1〇6之虞。金屬硬罩 幕層108的材料例如是鈕、氮化鈕、鈦、氮化鈦、鎢或氮 化鎢,其形成方法例如是化學氣相沈積法。底部抗反射層 1305Q3fi>-2005-0737 19092twdl.doc/e 112例如是例如是有機底部抗反射層或無機底部抗反射 層,其中無機抗反射層的形成方法例如是化學氣相沈積 法’,其材質可包括非晶相碳膜、氣化石夕、氮氧化石夕和氧 化欽等。 上在一實施例中,在氮氧化矽層110形成之後以及底 部抗反f層112形成之前,還可以於氮氧化石夕層110上形 成-層氧化石夕層(未綠示),以使敗氧化石夕層110的折射^ (η)與介電常數(k)不會隨著時間而有變化。 在另-實施例中’在氮氧化梦層㈣形成 形成之前’還可以對氮氧切層110進 文質I程’以於氮氧化石夕層11〇上形成一氧化 ^製氧切層11G的折射率與介電常數。表面 - 含氧氣體對氮氧峨u。的表面進行 · ·, 歸置=是,氮氧化石夕層110可減少底下之反射材質(金屬 層108)之反射光,因此有助於 乂 “ 然後’請參照圖-1B,直接移除未圖。 射層112、氮氧切層㈣與金屬硬罩 上述直接移分介電層106的表面。更詳細而言, 罝接移除未被圖案化光阻層u ::zzrc’:即是僅進行單-: 反射層"2=二,先阻層…舆底部抗 ’、“方㈣如讀行職程。之後, 11 I305O3A-2OO5-0737 19092twdl.doc/e 以氮氧化矽層110與金屬硬罩 的介電層106與部分的阻障層θ ’移除部分 的表场絲露出導線102 =表面之鑲嵌開口 116。上述,移除部分Only =] such as polyarylene engine e), aromatic hydrocarbons = material 1 sub, fragrant bond (Parylene) and so on. The method of forming the dielectric layer j 〇 6 is, for example, a chemical vapor deposition method. In an embodiment, the dielectric layer 1 6 may also be formed, for example, of a -layer low-k dielectric layer and a layer of insulating layer. The insulating layer is made of, for example, cerium oxide formed by using tetraethoxy decane (TE〇S) as a reaction gas source, and its formation method is, for example, chemical vapor deposition. The insulating layer can also be used as a chemical mechanical polishing stop layer to avoid the possibility of grinding to the dielectric layer 1〇6 when performing chemical mechanical polishing (CMP). The material of the metal hard mask layer 108 is, for example, a button, a nitride button, titanium, titanium nitride, tungsten or tungsten nitride, and its formation method is, for example, chemical vapor deposition. The bottom anti-reflection layer 1305Q3fi>-2005-0737 19092twdl.doc/e 112 is, for example, an organic bottom anti-reflection layer or an inorganic bottom anti-reflection layer, wherein the inorganic anti-reflection layer is formed by, for example, a chemical vapor deposition method, The material may include an amorphous phase carbon film, a gasification stone, a nitrous oxide oxide, and an oxidized chin. In an embodiment, after the formation of the yttrium oxynitride layer 110 and before the formation of the bottom anti-f layer 112, a layer of oxidized stone layer (not shown) may be formed on the oxynitride layer 110. The refractive index (η) and dielectric constant (k) of the oxidized olivine layer 110 do not change with time. In another embodiment, 'before the formation of the oxidized dream layer (4) is formed, 'the oxynitride layer 110 can also be subjected to the crystallization process I' to form an oxidized oxygen layer 11G on the oxynitride layer 11 〇 The refractive index and dielectric constant. Surface - Oxygenated gas to nitroxime. The surface is performed · ·, placement = Yes, the nitrous oxide layer 110 can reduce the reflected light of the underlying reflective material (metal layer 108), thus contributing to the "then" please refer to Figure-1B, directly remove the The shot layer 112, the oxynitride layer (4) and the metal hard mask directly shift the surface of the dielectric layer 106. In more detail, the splicing removes the unpatterned photoresist layer u::zzrc': Only single-: reflective layer " 2 = two, first resistance layer ... 舆 bottom anti-', "square (four) such as reading the line. Thereafter, 11 I305O3A-2OO5-0737 19092twdl.doc/e with the yttria layer 110 and the dielectric layer 106 of the metal hard mask and part of the barrier layer θ 'removed part of the surface field to expose the wire 102 = surface inlay Opening 116. Above, remove part

为阻障層104的方法例如是,先 θ U 與金屬硬罩幕声應胸除未被氮氧切層110 如是進的介電層1〇6,其移除方法例 A蒋广古土 J程。之後,再移除暴露的阻障層1〇4, 其移,方法例如是進行-齡m程。The method for the barrier layer 104 is, for example, that the θ U and the metal hard mask are removed by the oxynitride layer 110, such as the dielectric layer 1 〇 6 , and the removal method is as follows. Cheng. Thereafter, the exposed barrier layer 1〇4 is removed, and the method is moved, for example, by performing an age-independent m-pass.

隨後,請參照圖1D,於鎮嵌開口 116中填入導體層 ’並山配合化學機械研磨(CMP)法磨去多餘的金屬,以形 早鑲肷結構。導體層118的材料例如是金屬材料或多晶 >6y 〇 义值得-提的是’本發明在介電層中定義出開口的步驟 之别’只需單—㈣步驟,即可在硬罩幕層中定義出開口 圖案,因此能夠簡化製程步驟,以及可節省製程時間。 以下,說明利用本發明之方法所形成之單鑲嵌結構。Subsequently, referring to Fig. 1D, the conductor layer ’ is filled in the well-embedded opening 116 and the excess metal is removed by chemical mechanical polishing (CMP) to form the enamel structure. The material of the conductor layer 118 is, for example, a metal material or polycrystalline material. 6y 值得 值得 值得 ' ' ' ' ' ' ' ' 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 本 只需 只需 只需 只需 只需 只需 只需 只需 只需 只需 只需 只需 只需The pattern of openings is defined in the layer, which simplifies the process steps and saves process time. Hereinafter, a single damascene structure formed by the method of the present invention will be described.

其中,所有構件的材料,已於上述實施例中說明,故於此 不再贅述。 - 請再次參照圖1D,單鑲嵌結構包括,基底 100、阻障 層104、介電層106、金屬硬罩幕層1〇8、氮氧化矽層11〇 以及導體層118。其中’基底100中配置有導線102。阻障 層104位於基底1⑻上。介電層106位於阻障層104上。 金屬硬罩幕層108位於介電層1〇6上。氮氧化矽層11〇位 於金屬硬罩幕層1〇8上。其中,氮氧化矽層 110、金屬硬 罩幕層108、介電層106與阻障層104中具有暴露部分導 12 1305OBlGtD-2005-073'7 19092twdl.doc/e 112 :底部抗反射層 114、122 :圖案化光阻層 116 :鑲嵌開口 118、128 :導體層 120、121 :溝渠 123 :開口圖案 124、125 :開口 126 :雙鑲嵌開口The materials of all the components have been described in the above embodiments, and thus will not be described herein. Referring again to FIG. 1D, the single damascene structure includes a substrate 100, a barrier layer 104, a dielectric layer 106, a metal hard mask layer 〇8, a yttria layer 11A, and a conductor layer 118. Wherein the wire 100 is disposed in the substrate 100. The barrier layer 104 is located on the substrate 1 (8). The dielectric layer 106 is on the barrier layer 104. A metal hard mask layer 108 is on the dielectric layer 1〇6. The ruthenium oxynitride layer 11 is placed on the metal hard mask layer 1〇8. Wherein, the yttrium oxynitride layer 110, the metal hard mask layer 108, the dielectric layer 106 and the barrier layer 104 have exposed portions 12 1305OB1GtD-2005-073'7 19092twdl.doc/e 112: the bottom anti-reflection layer 114, 122: patterned photoresist layer 116: damascene openings 118, 128: conductor layers 120, 121: trench 123: opening patterns 124, 125: opening 126: double damascene opening

1717

Claims (1)

I30503#d -2005-0737 19092twdl.doc/e 十、申請專利範圍: 1. 一種單鑲嵌開口的形成方法,包括·· 提供一基底,該基底中已形成有一導線; 於該基底上依序形成一阻障層、一介電層、_金屬硬 罩幕層、一氮氧化矽層、一底部抗反射層以及一圖案化光 阻層; μ 直接移除未被該圖案化光阻層覆蓋住的該底部抗反射 層、該氮氧化石夕層以及該金屬硬罩幕層,至暴露出部分該 介電層表面; 移除該圖案化光阻層以及該底部抗反射層;以及 以該氮氧化矽層與該金屬硬罩幕層為罝葚..Λ Α :反射層之前’更包括:於該氮氧切層上形成=二 3. 如申請專利範圍第 方法,其中於形成該氮氧化石夕層之後,口的形成 程,以於該氮氧化石夕層上形成^氧化^進仃一表面改質製 4. 如申請專利範圍第3項所述:單 方法,其中該表面改質製輕包括以含 ^開口的形成 程。 氧乳體進行一電襞製 18 1305OSlflbD 2005-0737 19O02twdl.doc/( 5.,申請專利範圍第i項所述之單鑲嵌開 屬硬罩幕層的材料包括扭、氮化組、鈦、 氮化鈦、鎢或氮化鎢。 & 6. 如申請專利範㈣丨項所述之單鎮嵌開口 方法’,、巾該介電層的材料包括低介電常數材料。 7. 如申請專利範圍第丨項所述之單镶嵌開口 方法,其中該導線的材料包括銅。 8. —種單鑲嵌結構,包括: 一基底,該基底中配置有一導線; 一阻障層,位於該基底上; 一介電層,位於該阻障層上; 一金屬硬罩幕層,位於該介電層上; 一氮氧化矽層,位於該金屬硬罩幕層上 ,其中該氮氧切層、該金屬硬罩幕層 及該阻障財具有暴转分料線的表面之 2層以 .:i η 罐敗開口;I30503#d -2005-0737 19092twdl.doc/e X. Patent application scope: 1. A method for forming a single inlaid opening, comprising: providing a substrate in which a wire has been formed; sequentially forming on the substrate a barrier layer, a dielectric layer, a metal hard mask layer, a hafnium oxynitride layer, a bottom anti-reflective layer, and a patterned photoresist layer; μ directly removed without being covered by the patterned photoresist layer The bottom anti-reflective layer, the oxynitride layer and the metal hard mask layer to expose a portion of the surface of the dielectric layer; removing the patterned photoresist layer and the bottom anti-reflective layer; and the nitrogen The ruthenium oxide layer and the metal hard mask layer are 罝葚.. Λ Α: before the reflective layer' further includes: forming on the oxynitride layer = two. 3. The method according to the scope of the patent, wherein the oxynitride is formed After the shoal layer, the formation of the mouth is formed on the oxynitride layer to form a surface oxidized surface. 4. As described in claim 3: a single method, wherein the surface is modified The light system includes a formation process including an opening. Oxygen emulsion is electro-tanning. 18 1305OSlflbD 2005-0737 19O02twdl.doc/ (5. The material of the single inlaid hard mask layer described in item i of the patent application includes twisted, nitrided, titanium, nitrogen. Titanium, tungsten or tungsten nitride. & 6. The single-town embedded opening method as described in the patent application (4), the material of the dielectric layer includes a low dielectric constant material. The single damascene opening method of the invention, wherein the material of the wire comprises copper. 8. A single damascene structure, comprising: a substrate having a wire disposed therein; a barrier layer on the substrate; a dielectric layer on the barrier layer; a metal hard mask layer on the dielectric layer; a layer of oxynitride layer on the metal hard mask layer, wherein the oxynitride layer, the metal The hard mask layer and the barrier layer have two layers of the surface of the tumbling distribution line with a :: η can defeat opening; 的形成 的形成 一導體層,配置於該鑲嵌開口中。 9.如申請專利範圍第8項所述之單鑲嵌結 一氧化石夕層’配置於該氮氧化矽層上。 更匕括 巾料觀圍第8賴述之單鑲―構,h 括一氧化層,配置於該氮氧化矽層上。 匕 11.如申請專利範圍第8項所述之單鑲嵌 該介電層的材料包括低介電常數材料。 ,、中 12·如申請翻制第8項所述之單鑲嵌結構,其中 19 1305〇3γ&〇-2005-0737 19092twdl .d〇c/e 1305〇3γ&〇-2005-0737 19092twdl .d〇c/e 鈦、氮化鈦、鎢 該金屬硬罩幕層的材料包括鈕、氮化钽、 或氮化鎢。 該導項料之轉絲構,其中 14. 一種雙鑲嵌開口的形成方法,包括: 提供一基底,該基底中已形成有一導線; 罩幕Γΐί亡:!形成—阻障層、-介電層、-金屬硬 罩幕曰、-氮乳化石夕層、—第一底部抗反射層與一第 案化光阻層; 圖 底 以形成 直接移除未被該第一圖案化光阻層覆蓋 層、該氮氧化石夕層以及該金屬硬單幕層了以 暴路出部分該介電層表面之一第一開口; 於該基:上部氧 化石夕層以及部分該介錢; €盍該乱氧 以該第二圖#化妹層為罩幕’移除部該 層,以於該介電層中形成一第二開口; ^’丨電 移除該第二圖案化光阻層;以及 的二=化矽層與該金屬硬罩幕層為單幕,移料八 的該介電層與部分的該阻障層,以形成暴露Up 之一雙鑲嵌開口。 ^導線表面 15.如申請專利範圍第14項所述之 方法,其中於形成該氮氧切層之後,以==形成 反射層之前’更包括:於該氣氧切=== 20 13 0503#® 2005 0737 19092twdl.doc/e 16. 如申請專利範圍第14項所述之雙鑲嵌開口的形成 方法,其中於形成該氮氧化矽層之後,以及於形成該第一 底部抗反射層之前,更包括:對該氮氧化石夕層進行一表面 改質製程,以於該氮氧化矽層上形成一氧化層。 17. 如申請專利範圍第16項所述之雙鑲嵌開口的形成 方法,其中該表面改質製程包括以含氧氣體進行一電漿製 程。 18. 如申請專利範圍第14項所述之雙鑲嵌開口的形成 方法,其中於該第二圖案化光阻層形成之前,更包括:於 該基底上方形成一第二底部抗反射層,填滿該第一開口, 且覆蓋該氮氧化矽層。 19. 如申請專利範圍第14項所述之雙鑲嵌開口的形成 方法,其中該金屬硬罩幕層的材料包括钽、氮化组、鈦、 氮化鈦、鎢或氮化鎢。 20. 如申請專利範圍第14項所述之雙鑲嵌開口的形成 方法,其中該介電層的材料包括低介電常數材料。 21. 如申請專利範圍第14項所述之雙鑲嵌開口的形成 方法,其中該導線的材料包括銅。 22. —種雙鑲嵌結構,包括: 一基底,該基底中配置有一導線; 一阻障層,位於該基底上; 一介電層,位於該阻障層上; 一金屬硬罩幕層,位於該介電層上;以及 一氮氧化石夕層,位於該金屬硬罩幕層上 21 I3O5OA0D -2005-073) 19092twdl.doc/e ,其中該氮氧化矽層、該金屬硬罩幕層、該介電層以 及該阻障層中具有暴露部分該導線的表面之一雙鑲嵌開 口;以及 一導體層,配置於該雙鑲嵌開口中。 23. 如申請專利範圍第22項所述之雙鑲嵌結構,其中 更包括一氧化矽層,配置於該氮氧化矽層上。 24. 如申請專利範圍第22項所述之雙鑲嵌結構,其中 更包括一氧化層,配置於該氮氧化矽層上。 25. 如申請專利範圍第22項所述之雙鑲嵌結構,其中 該介電層的材料包括低介電常數材料。 26. 如申請專利範圍第22項所述之雙鑲嵌結構,其中 該金屬硬罩幕層的材料包括组、氮化组、鈦、氮化鈦、鶴 或氮化鎢。 27. 如申請專利範圍第22項所述之雙鑲嵌結構,其中 該導線的材料包括銅。The formation of a conductor layer is formed in the damascene opening. 9. The single inlaid knot nitric oxide layer as described in claim 8 is disposed on the niobium oxynitride layer. In addition, the lining structure of the eighth material is arranged, and an oxide layer is disposed on the yttria layer.匕 11. The material of the single damascene dielectric layer as described in claim 8 includes a low dielectric constant material. , 12 in the application of the single mosaic structure described in item 8, 19 1930 〇 3 γ & 〇 -2005-0737 19092twdl .d〇c / e 1305 〇 3 γ & 〇 2005 -2005-0737 19092twdl .d〇 C/e Titanium, titanium nitride, tungsten The material of the metal hard mask layer includes a button, tantalum nitride, or tungsten nitride. The invention discloses a method for forming a double-inlaid opening, wherein: a method for forming a dual damascene opening, comprising: providing a substrate, wherein a wire has been formed in the substrate; the mask is Γΐ ::! forming a barrier layer, a dielectric layer , a metal hard mask, a nitrogen emulsified layer, a first bottom anti-reflective layer and a first photoresist layer; the bottom is formed to directly remove the first patterned photoresist layer The oxynitride layer and the hard single layer of the metal ventilate a portion of the first opening of the surface of the dielectric layer; at the base: the upper oxidized layer and the portion of the dielectric layer; Oxygen is removed from the second layer as a mask to remove a portion of the layer to form a second opening in the dielectric layer; ^' electrically removing the second patterned photoresist layer; The bismuth layer and the metal hard mask layer are single screens, and the dielectric layer and a portion of the barrier layer are transferred to form a double damascene opening exposing Up. The method of claim 14, wherein the method of claim 14, wherein after forming the oxynitride layer, forming a reflective layer with == further comprises: the gas oxygen cutting === 20 13 0503# The method of forming a dual damascene opening according to claim 14, wherein after forming the yttria layer and before forming the first bottom anti-reflective layer, The method comprises: performing a surface modification process on the layer of nitrogen oxynitride to form an oxide layer on the layer of oxynitride. 17. The method of forming a dual damascene opening according to claim 16, wherein the surface modification process comprises performing a plasma process with an oxygen-containing gas. 18. The method of forming a dual damascene opening according to claim 14, wherein before the forming of the second patterned photoresist layer, further comprising: forming a second bottom anti-reflective layer over the substrate, filling up The first opening covers the yttria layer. 19. The method of forming a dual damascene opening according to claim 14, wherein the material of the metal hard mask layer comprises tantalum, nitrided, titanium, titanium nitride, tungsten or tungsten nitride. 20. The method of forming a dual damascene opening according to claim 14, wherein the material of the dielectric layer comprises a low dielectric constant material. 21. The method of forming a dual damascene opening according to claim 14, wherein the material of the wire comprises copper. 22. A dual damascene structure comprising: a substrate having a wire disposed therein; a barrier layer on the substrate; a dielectric layer on the barrier layer; a metal hard mask layer a dielectric layer; and a layer of nitrous oxide oxide on the metal hard mask layer 21 I3O5OA0D-2005-073) 19092 twdl.doc/e, wherein the oxynitride layer, the metal hard mask layer, the a dielectric layer and a double damascene opening having a surface of the barrier layer exposing a portion of the wire; and a conductor layer disposed in the dual damascene opening. 23. The dual damascene structure of claim 22, further comprising a hafnium oxide layer disposed on the niobium oxynitride layer. 24. The dual damascene structure of claim 22, further comprising an oxide layer disposed on the niobium oxynitride layer. 25. The dual damascene structure of claim 22, wherein the material of the dielectric layer comprises a low dielectric constant material. 26. The dual damascene structure of claim 22, wherein the material of the metal hard mask layer comprises a group, a nitrided group, titanium, titanium nitride, a crane or tungsten nitride. 27. The dual damascene structure of claim 22, wherein the material of the wire comprises copper. 22twenty two
TW95123486A 2006-06-29 2006-06-29 Method for fabricating single-damascene structure, dual damascene structure, and opening thereof TWI305030B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW95123486A TWI305030B (en) 2006-06-29 2006-06-29 Method for fabricating single-damascene structure, dual damascene structure, and opening thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW95123486A TWI305030B (en) 2006-06-29 2006-06-29 Method for fabricating single-damascene structure, dual damascene structure, and opening thereof

Publications (2)

Publication Number Publication Date
TW200802699A TW200802699A (en) 2008-01-01
TWI305030B true TWI305030B (en) 2009-01-01

Family

ID=44765468

Family Applications (1)

Application Number Title Priority Date Filing Date
TW95123486A TWI305030B (en) 2006-06-29 2006-06-29 Method for fabricating single-damascene structure, dual damascene structure, and opening thereof

Country Status (1)

Country Link
TW (1) TWI305030B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020150983A1 (en) * 2019-01-25 2020-07-30 Yangtze Memory Technologies Co., Ltd. Methods for forming hole structure in semiconductor device

Also Published As

Publication number Publication date
TW200802699A (en) 2008-01-01

Similar Documents

Publication Publication Date Title
TWI280987B (en) Structure comprising amorphous carbon film and method of forming thereof
TWI229412B (en) Method for forming metal interconnect structures
TW559999B (en) Semiconductor device having silicon-including metal wiring layer and its manufacturing method
TWI285940B (en) Semiconductor device and method for fabricating the same
TWI311349B (en) Solder bump and method of fabricating the same
JP4169150B2 (en) Method of forming a metal pattern using a sacrificial hard mask
TWI360181B (en) Semiconductor device and fabrication method thereo
TW201037756A (en) Patterning method and integrated circuit structure
JP5224636B2 (en) Manufacturing method of semiconductor device having damascene structure with air gap and semiconductor device having damascene structure with air gap
TWI376015B (en) Semiconductor device and semiconductor device production method
TW200527164A (en) Structure comprising tunable anti-reflective coating and method of forming thereof
TW200945495A (en) Semiconductor integrated circuit device and manufacturing method of semiconductor integrated device
JP2004063990A (en) Semiconductor device and its manufacturing method
US6514856B2 (en) Method for forming multi-layered interconnect structure
TWI282591B (en) Semiconductor device including porous insulating material and manufacturing method therefor
TW200816468A (en) Image sensor and method of fabricating the same
TWI276214B (en) Semiconductor device having fuse and capacitor at the same level and method of fabricating the same
JP2001223269A (en) Semiconductor device and manufacturing method therefor
TWI328858B (en) Semiconductor devices and methods for forming the same
JP2008060498A (en) Semiconductor device and manufacturing method therefor
TW544850B (en) A method for forming a metal wire using a damascene process and the semiconductor device for the same
TWI305030B (en) Method for fabricating single-damascene structure, dual damascene structure, and opening thereof
JP2004200693A (en) Method to form double etching structure
TWI288459B (en) A dual-damascene process for manufacturing semiconductor device
TWI344676B (en) Poly silicon hard mask