TWI253109B - Treatment apparatus and method therefor - Google Patents

Treatment apparatus and method therefor Download PDF

Info

Publication number
TWI253109B
TWI253109B TW092101007A TW92101007A TWI253109B TW I253109 B TWI253109 B TW I253109B TW 092101007 A TW092101007 A TW 092101007A TW 92101007 A TW92101007 A TW 92101007A TW I253109 B TWI253109 B TW I253109B
Authority
TW
Taiwan
Prior art keywords
exhaust
gas
processing
processing chamber
exhaust gas
Prior art date
Application number
TW092101007A
Other languages
English (en)
Other versions
TW200407980A (en
Inventor
Hiroshi Kannan
Takaaki Matsuoka
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200407980A publication Critical patent/TW200407980A/zh
Application granted granted Critical
Publication of TWI253109B publication Critical patent/TWI253109B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Description

1253109 資訊取得部亦可自流入前述測定管之前述排氣氣體取得前 述資訊。 上述處理裝置亦可於前述資訊取得部内具備測定前述特 定物質之濃度之紅外分光分析裝置或質量分析裝置,前述 控制邯依據前述資訊取得部測定之前述特定物質的濃度, 控制前述處理邵。此時紅外分光分析裝置宜為傅里葉轉換 、、工外刀光裝置(FT-IR) ’質量分析裝置宜為四極型質量分析。 上述處理裝置亦可於前述資訊取得部内具備測定前述排 氣氣體中之碎片物質之分布的紅外分光裝置,前述控制部 依據前述資訊取得部敎之前述碎片㈣的分布控制前述 處理邵。此時紅外分光分析裝置宜為傅里葉轉換紅外分光 裝置(FT-IR)。 為求達成上述目的,本發明第三觀點之處理裝置之特徵 為具備: 處理室; *氣體供給機構’其係連接於前述處理室,並於前述處3 室二以特定時間供給數個處理氣體中之—種處理氣體; a第-排氣機構,其係連接於前述處理室,並將前述心 皇内排氣至特定之真空壓力; 、、第二排氣機構,其係連料前述第—排氣機構,並^ 迷處理ϋ内排氣至前述第—排氣機構可動作之壓力; 測疋機構,其係配置於前述第一排氣機構與前述第二^ ^機構之間,測定自前述處理室排氣之排氣氣體中; 處理氣體量;及 82926 -11 - 1253109 —控制機構5其係依據以前述測定機構測定之前述處理氣 體量,控制前述氣體供給機構供給之其他處理氣體。 、斫即,由於上述構造之處理裝置可適用於反覆置換處理 至内 < 乳體氣氛來進行處理之如原子層堆積法(ald)等處 理,可高度精密地控制氣體之切換,因此可靠性高,且可 進行生產性高的處理。 上述構造中,如前述控制機構於前述排氣氣體中之前述 處f氣體量減少至特定量時,《藉由前述錢供給機構 向前逑處理室内供給其他處理氣體。 、為求達成上述㈣,本發明第四觀點之處理裝置之特徵 為具備: 處理罜,其係於内部對被處理體進行特定處理; 一洗乎機構’其係供給將前述處理室内予以潔淨化用之洗 淨氣體’來洗淨前述處理室内; a第-排氣機構’其係連接於前述處理室,並將前述處理 室内排氣至特定之真空壓力; 、第二排氣機構,其係連接於前述第一排氣機構,並將前 返處理A内排氣至前述第一排氣機構可動作之壓力; 貧訊取得機構,其係配置於前述第—排氣機構與前述第 二排氣機構之間’並取得自前述處理室排氣之排氣氣體中 之〉亏染物質相關資訊;及 一 __ ’其係依據以前述資訊取得機構取得之前述資 判斷则述處理室内之〉了染狀態,來控制前述洗淨機構。 亦即,因上述構造之處理裝置可適用於處理室之乾式洗 82926 -12 - 1253109 淨,且可以高度精密地控制洗淨’因此可實施防止過度洗 淨等的有效洗淨。 上述構造中,亦可為如前述污染物質係微粒子,前述控 制機構於前述排氣氣體中之前述微粒子量超過特定量時, 洗淨前述處理室内。此時,前述資訊取得機構宜具備作為 測定微粒子量之裝置的光學式計數器。 / 、…〜μ _,河俄偁円具備測 定前述排氣氣體中之經前述洗淨產生之副生成物量的副生 成物測定機構,前述控制機構亦可依據以前述副生成物測 定機構測定之前述副生成物量控制前述洗淨機構。此時, 前述副生成物測定機構宜為四極型質量分析計或ft_ir。 上述處理裝置亦可進-步於前述資訊取得機才籌内具備測 足前述排氣氣體中之金屬元素種類及量的質量分析裝置, 前述控制機構依據以前述資訊取得機構測定之前述金屬元 素種類及量控制前述洗淨機構。此時,質量分析裝置宜7 四極型質量分析計。 為 為求達成上述目的,本發明第 # 乃罘五觀點之處理方法之 為具備: 攸 處理; 2 一排氣步驟’其係藉由連料前述處理室之 將w述處理室内排氣至特定之真空壓力;及 風 排氣步驟,其係藉由連接於;述主排氣部之副排 至内排孔土可猎由前述第—排氣步驟排氣 82926 -13 - l253l〇9 壓力; 且具備: 資訊取得步驟,其係取得藉由 處理舍M 』、罘一排氣步騾自前逑 :而流入前述主排氣部與前述副排氣部間 孔把中<特定物質相關資訊;及 制步風,其係依據以前述資說 訊判取侍步驟取得之前述資 見判崎可述處理室内之狀態來控制前逑處理。 之構造之方法係取得流入主排氣部與以高於主排氣部 力作動之副排氣部間之排氣氣體中之料物質的資訊 1度)。與主排氣部之吸氣側比較,主排氣部之排氣側(主 2部與副排氣部之間)的壓力較高(真空度低)。因而排氣 度較高’分析靈敏度提高。因此可取得可 靠性高的資訊,可進行高度精密的處理控制。 【實施方式】 二下,參照圖式說明本實施形態之處理裝置及處理方法。 (第一種實施形態) 第一種實施形態係以隔著真空排氣,於處理室内交替供 給四氯化鈦(TlCl4)氣與氨(NH3)氣,使用所謂原子層成膜法 (At0mic Layer Dep〇sltl〇n; ALD),於半導體晶圓(以下稱晶 圓)之表面形成氮化鈦(TlN)膜的處理裝置為例作說明。 圖1顯示本實施形態之處理裝置丨丨的構造。 如圖1所示,處理裝置11具備··控制裝置12、處理室13、 氣體供給線14、及排氣線15。 控制裝置12係控制後述之成膜處理相關之處理裝置丨丨的 82926 -14- 1253109 整個動作。另外,為求便於理解,省略控制裝置12動作的 终細說明。 處理室13構成可抽成真空,其内部收納被處理體之晶 圓。於處理室1 3内部,於晶圓上實施後述之ALD處理而形 成氮化飲膜。 氣體供給線14具備:四氯化鈦源16、氨源17、及兩個氬 (Ar)源18,19,各個氣體源16,17,18,19經由MFC(質量 ’/見f fe制器)等流量控制裝置2〇a,20b,20c,20d及閥門21a, 21b ’ 21c,21d,分別連接於處理室13。自氣體供給線14供 給藉由氬氣稀釋之四氯化鈦氣及氨氣於處理室13内。 排氣線15具備:渦輪分子泵(TMp) 22、乾泵(DP) 23、及 測足部24。排氣線1 5連接於處理室丨3,處理室丨3經由排氣 線1 5而排氣,減壓至特定的壓力狀態。 TMP 22經由第一排氣管25與處理室13連接。於第一排氣 巨25上,自處理室13侧起依序設有可變流量閥26及閥門 27。TMP 22將處理室13内減壓至高度真空狀態。此外,可 又/此里閥26將處理室13内保持在特定之高度真空狀態。第 一排氣管25依TMP 22之排氣速度、長度等形成如約5〇 mm 4内徑。另外,亦可使用機械拖曳泵等其他高度真空形成 用泵來取代TMP 22。 乾泵23藉由第:排氣f 28連接於ΤΜρ以排氣側。於 ΤΜΡ 22與乾泵23之間設有閥門29。乾泵23發揮粗栗之功 3匕將處理至13内形成ΤΜρ 22可作動之壓力。乾栗23之排 氣侧連接於圖上未顯示之淨化裝置,將通過排氣㈣之排 82926 -15 - 1253109 氣氣體予以淨化後釋放至空氣中。 第二排氣管28依乾泵23之排氣速度、長度等,如形成約 4〇111111心内徑。此時乾泵23之排氣容量小於1^1)22,因此, 第二排氣管28内徑小於第一排氣管25。 測足部24之兩端設於連接於第二排氣管28之旁通管的 中途。旁通管30之兩端連接於閥門的給氣側。旁通管川具 有與弟一排氣管2 8大致相同的内徑。另外,亦可於旁通管 30之兩端設置閥門。 測定部24測定並監視通過旁通管3〇之排氣氣體中之四氯 化鈦氣之分壓與氨氣之分壓。圖2顯示測定部24之構造。測 足邯24具有所謂FT-IR(傅里葉轉換紅外分光裝置)的構造, 如圖2所示,係由本體部3 1及檢測部32構成。 本體邵3 1係由:光源33,其係射出紅外光;反射鏡34, 其係配置於射出之光的光程上,並向特定方向反射;干擾 儀35,其係配置於被反射鏡34反射之光的光程上;及運算 部36 ’其係連接於控制裝置12而構成。 干擾儀35由:分束器37,其係導引被反射鏡34反射之光, 並將该光分歧為數個光;固定鏡3 8及移動鏡3 9,其係配置 於被分束器37所分歧之光的各個光程上;及驅動機構4〇, 其係驅動移動鏡3 9而構成。此外,驅動機構4〇連接於運算 邵3 6 〇 檢測邵3 2係經由旁通管3 0而配置於本體部3 1的相反侧。 於旁通管30之管壁上設有包含石英等窗部3〇a,形成自本體 邵3 1射出之光經由窗部30a通過旁通管30的構造。檢測部32 82926 -16 - 1253109 由:反射鏡41 ’其係配置於通過旁通管3 0之光的光程上, 將該光向特定方向反射;及檢測器42,其係接收被反射鏡 41反射之光而構成。檢測器42連接於本體部31之運算部36。 上述構造之測定部24如以下所述測定排氣氣體中之特定 物質,亦即測定四氯化鈦與氨之分壓。運算部36於自光源 33射出紅外光之狀態下,藉由驅動機構40移動移動鏡39。 藉此,入反射於移動鏡39之光與入反射於固定鏡38之光的 光程差改變’而被兩個鏡39,40反射,以分束器37再度合 成之合成光彼此相互干擾而隨時間改變強度。該合成光經 由窗部30a通過旁通管30内。通過旁通管30之光以反射鏡41 聚光,並導至檢測器42。 檢測器42將接收之光的光強度資料送達運算部36。運算 邵36將檢測器42檢測之光強度隨時間之變化(干擾圖)予以 傅里葉轉換,而獲得紅外吸收光譜。運算部36自所獲得之 紅外吸收光譜算出通過旁通管30之排氣氣體中之特定物質 的分壓。運算部36監視該分壓隨時間之變化,於分壓達到 特足值時’將指示該内容之信號送達控制裝置丨2。控制裝 置12依據接收之信號控制自氣體供給線14供給氣體至處理 室13内。 如以上所逑,測定部24配置於TMp 22之排氣侧,排氣氣 把中之四氯化鈦及氨之分壓的測定係於TMP 22之排氣側進 行。此時TMP 22之排氣侧的壓力高於給氣侧(真空度低), 卜氣氣把中之物質濃度(分壓)相對較高。因而比在丁 、'、、&氣i、h則走可獲彳于較咼的測定靈敏度,可獲得可靠性高 82926 -17 - 1253109 的資訊(分壓資料)。 此外’旁通管30之内徑與第二排氣管28相同,而比第一 排氣賞25小。因而旁通管30内之物質分布的差異比在TMP 」之^氣侧測定時小,即使藉由光學性測定,測定值的差 異仍小5可取得可靠性高的資訊。 因而’ fe制裝置12依據自設於TMP 22之排氣侧之測定部 24所獲得之可靠性高的資訊,可高度精密地控制處理室13 内之氣體切換等處理。此外,可將排氣時間予以最佳化, 促使通量提高。 以下,參照圖3說明第一種實施形態之處理裝置丨丨的動 作。另外,圖3所示之流程係其中一例,只要可獲得同樣的 結果,亦可採任何構造。 首先控制裝置12將晶圓搬至處理室13内(步騾S11)。而 後,藉由乾泵23將處理室13内減壓至特定壓力,並藉由tmP 22減壓並維持在如4 X 102 Pa (3 Torr)(步驟S12)。 其次,處理裝置11開放閥門21a,21c,開始供給四氣化 鈦氣及氬氣(步騾S13)。此時之四氯化鈦氣及氬氣,如供給 四氯化鈥/氬=30 seem/1000 seem之流量。對處理室13内之 氣體供給係於特定時間,如於0 · 5秒間進行。藉由供給四氯 化献氣,晶圓表面上多層地吸著四氯化歛分子。 而後,控制裝置12關閉閥門21a,21c,停止供給四氯化 鈦氣及氬氣。停止供給氣體後,處理室13内排氣,處理室 13内之四氯化飲氣被除去(步驟S 14)。此時,排氣須進行至 處理室1 3内之四氯化鈦分壓非常低,如進行至排氣氣體中 82926 -18 - 1253109 之四氯化鈦分壓未達10-1 Pa (0.75X1 (T3 Ton·)。處理室13内 之排氣進行至殘留吸著於晶圓表面之大致1層部分之四氯 化&分子,四氯化鈦分子自處理室13内除去,四氯化鈇與 爾後供給之氨形成氣相中不反應的濃度(步驟$ 15)。 此時,測定部24自開始處理時起隨時監視排氣中之物質 分壓。測定部24監視之排氣中之四氯化鈦分壓及氨分壓的 變化剖面概略顯示於圖4。 如圖4所示,於處理室13内以特定時間(τ丨)供給四氯化歛 氣後’排氣氣體中之四氯化鈦分壓逐漸減少。測定部24如 於排氣氣體中之四氯化鈦分壓減少至特定之分壓(D1)時(自 停止供給氣體起7: 2時間後)’將表示處理室13内之排氣完 成的信號送至控制裝置12。 控制裝置12自測定部24接收上述信號時,開放間門21b, 21d,開始供給氨氣及氬氣(圖3步騾S16)。此時之氣氣及氮 氣如供給氨/氬= 1000 SCCm/100 sccm的流量。對處理室13 内之氣體供給係於特定時間,如於0.5秒間進行。此時,氨 分子與吸著於晶圓上之四氯化欽分子反應而形成大致1個 原子層部分的氮化鈦層。此外,氮化鈦層上多層地吸著氨 分子。 而後’控制裝置丨2關閉閥門211),21(1,停止供給氨氣及 氬氣。停止供給氣體後,處理室13内排氣,處理室13内之 氨氣被除去(步騾S17)。此時之排氣係進行至處理室13内之 氨分壓降至非常低,如進行至排氣氣體中之氨分厚未達1〇_2 Pa (0.75 X # T〇rr)。處理室13内之排氣進行至^留^於 82926 -19 - Ϊ253109 自處理室13内 不反應的濃度 氮化欽層上之大致1層部分之氨分子9氨分子 除去,氨與爾後供給之四氯化鈦形成氣相中 (步騾S18)。 T圖4所示,於處理室13内以特定時間(r3)供给氨氣後, 排氣氣體中之氨分壓逐漸減少。測定部24如於排氣氣體中 之氨分壓減少至基準值分壓(D2)時(自停止供給氣體起"^4 時間後),將表示處理室13内之排氣完成的信號送至控制裝 置12。 工 如此,自步驟S13至步驟S18進行包含四氯化鈦氣之供 給、排氣、氨氣之供給、排氣之1個周期部分的步驟。控制 裝置12自測定部24接收上述信號時,回到圖3的步驟§13, 進行四鼠化鈇氣及氬氣的供給,開始新的周期。 控制裝置12於步騾S13中,於處理室13内以特定時間供給 四氯化鈦氣。藉此,吸著於氮化鈦層上之氨分子與四氯化 鈦分子反應,重新形成大致!個原子層之氮化鈦層。此外, 四氯化欽分子多層地吸著於該氮化欽層上。 矣k績’控制裝置12於步騾S14中停止供給四氯化鈥氣及氬 氣,藉此處理室13内之四氯化鈦排氣而除去。如圖4所示, 排氣係進行至四氯化飲分壓減少至特定分壓(D1)(自停止 供給氣體起τ 2,時間)。 繼續,控制裝置12於接收表示自測定部24排氣中之四氯 化鈦分壓達到特定分壓以下的信號時(步驟s丨5),於特定時 間供給氨氣及氬氣(步騾S16)。藉此,吸著於氮化鈥層上之 四氯化欽分子與氨分子反應而形成新的氮化斂層(第三 82926 -20 - 1253109 層)°此外,氨分子多層地吸著於該氮化鈦層上。 停止供給氨氣及氬氣後,控制裝置12將處理室13内予以 排氣而除去氨(步騾S17)。如圖4所示,此時之排氣係進行至 四氯化鈦分壓減少至特定分壓(D2)(自停止供給氣體起r f 時間)。藉此第二周期的步騾結束。 以下,藉由反覆進行上述周期,將氮化鈦層分別形成大 致1個原子層而予以堆疊。上述周期係反覆進行至於晶圓上 形成特定厚度之氮化鈦層。於步驟S19中,判斷已反覆特定 周期數時,控制裝置η於處理室邮供給氬氣,並且使處 理罜13内形成特定壓力(如形成與處理室13外部之晶圓搬 運區域大致相同的壓力(步驟S2〇)。而後,晶圓自處理如 内搬出(步騾S21),處理結束。 如以上夂說明,第一種實施形態係於ΊΓΜΡ 22之排氣侧, 自f氣氣體取得處理室13内之資訊(濃度分壓),並依據取得 μ訊控制處理室13内之處理(ALD)。ΤΜρ 22之排氣側與 及=側比較’因壓力相對較高(真空度低)’因此測定靈敏度 提南。且因配管徨較小,因此可抑制較小之測定值偏差。 因而步藉由依據自ΤΜΡ22之排氣側取得之資訊進行高度精 ::處理控制’可進行維持高度之膜品質等的高度可靠性 處理。 ?4上:第—種實施形態係使用具有mm構造之測定部 物心物質^排氣氣體中之量(分壓)。但是,測定特定 物貝的機構並 定機構、濃产計、可採用其他之光學測 又 曰亟型質1分析計等質量分析裝置等的 82926 -21 - 1253109 其他測定機構。但是,紅外分光分析裝置宜為即使係氣相 中之物質,仍可輕易獲得其紅外線吸收光譜,可有效進行 分析之FT-IR。此外,質量分析裝置宜為藉由其電荷狀態(質 量電荷比)判斷汽相中之物質,有效且可輕易地測定排氣氣 體中之物質種類及量的四極型質量分析計。此時,四極質 量分析計係具備4個電極,此等上以特定之比施加正負之直 流電壓與交流電壓,自藉由使直流電壓(或交流電壓)線性改 變所獲得之具有可通過電極間之質量電荷比(m/z)之荷電粒 子之強度光譜測定特定物質之量等的裝置。 _ 上述第一種實施形態之測定部24係監視四氯化鈦及氨的 濃度分壓,於到達特定分壓時,將其内容送至控制裝置12。 但是,測定部24亦可將檢測出之分壓資料送至控制裝置 12,控制裝置12監視分壓,判斷是否到達特定分壓。 上述第一種實施形態係說明測定部24測定處理(膜形成 之原料)氣體之四氣化鈦及氨的濃度分壓。但是,判斷處理 室内之狀態用的特定物質相關資訊並不限定於上述的濃度 0 分壓,亦可為表示處理氣體之離解狀態之特定物質之碎片 離子等之量或種類,並藉由測定部24來檢測。 上述第一種實施形態係使用四氯化鈦與氨於晶圓表面上 形成氮化鈦膜。但是使用之物質及形成之膜的種類並不限 定於此。除氮化膜之外,亦可為氧化鋁、氧化锆、氮化妲、 氧化矽、氮化矽、氧氮化矽、氮化鎢、矽化鎢、氧化釕等 其他金屬膜。且此時使用之氣體種類可使用五溴化妲、 Ta(〇C2H5)5、四氯化矽、四氫化矽、五氫化二矽、氫化矽、 82926 -22 - 1253109 可使用氮、氧、 氮、五氧化二氮 鼠:六氟化鎢等任何—種取代四氯化鈦 f乳、一氧化氮、-氧化二氮、三氧化 等任何一種取代氨。 、此外5於晶83上形成有特定厚度之氮切等膜之後,用 於清除處理室内之清除氣體並不限定於氬,只要是惰性氣 體即可,如亦可使用氮、氖等。 此外,第-種貫施形態之處理裝置丨丨為進行退火等其他 處理之處理裝置時,亦可以線内連接或予以集束。 此外,並不限定於逐片晶圓上實施處理之單片式處理裝 置11,亦可適用於整批式的處理裝置。 此外’第一種實施形態之發明並不限定於ALD,亦可適 用於其他成膜處理、氧化處理、㈣處理等須使用數種氣 體快速切換處理氣氛的處理。 (第二種實施形態) 第二種實施形態係以於半導體晶圓(以下稱晶圓)等被處 理體之表面,藉由處理室内之電漿處理形成氧化矽等矽系 膜之處理裝置的乾式洗淨為例作說明。處理裝置之乾式洗 淨係藉由於處理室内通過氟系氣體(三氟化氮^漿 來進行。 7 圖5顯示第二種實施形態之處理裝置丨丨的 一 η舟坆。如圖5所 示,處理裝置11具備··控制裝置12、處理室13、 、洗淨氣體 供給線50、及排氣線15。 裝 控制裝置12係控制後述之成膜處理、 置Π的整個動作。另外,為求便於理 洗淨處理 等之處理 解,省略控制裝置 82926 -23 - 1253109 12動作的詳細說明。 處理室1 3構成可抽成真空,其内部收納被處理體之晶 圓。處理罜13具備圖上未顯示之電漿產生機構,該電漿產 生機構具備咼頻電源等,並形成可於内部產生電漿的構 造。藉由電漿產生機構於處理室13的内部,在晶圓表面實 施電漿處理而形成氧化矽等矽系膜。 洗淨氣體供給線50具備··供給作為洗淨氣體之NF;氣的 NF3源51 ’·及供給作為稀釋氣體之氬氣的氬源52。此外,洗 淨氣髌供給線50設有將通過其内部之氣體予以活化的活化 劑 53。NF3源 51及氬源 52經由閥門 54a,54biMFC55a,55b 連接於活化劑53。 活化劑53具備圖上未顯示之電漿產生機構,使通過内部 之氣體之如ECR(電子回旋諧振)電漿、感應結合型電漿 (Inductive Coupled Plasma: ICP)等高密度電漿產生。活化劑 53將通過其内部之洗淨氣體(NF3)形成電漿狀態,選擇性排 出產生之氟自由基。 藉由上逑構造,於洗淨時,自洗淨氣體供給線50供給以 氣自由基為主要成分之洗淨氣體至處理室13内。氟與碎之 結合性高,附著、堆積於處理室13内之矽系膜藉由洗淨氣 體快速且有效地除去(蝕刻)。 排氣線15具備:渦輪分子泵(TMP) 22、乾泵23 (DP)、及 測疋部56。排氣線15連接於處理室13,處理室13經由排氣 線15而排氣’減壓至特定的壓力狀態。 TMP 22經由第一排氣管25與處理室13連接。於第一排氣 82926 -24 - 1253109 吕25上,自處理罜13侧起依序設有可變流量閥%及閥門。 ΤΜΡ 2。將處理主13内減壓至特定的真空狀態。此外,可變 *里閥26將處理罜丨3内保持在特定之真空狀態。第一排氣 賞25依TMP 22〈排氣速度、長度等形成如約5〇匪之内徑。 乾泵23藉由第二排氣管28連接於TMP 22之排氣侧。於 TMP 22與乾泵23〈間設有閥門。乾泵23發揮粗泵之功能, 將處理至13内形成TMp 22可作動之壓力。乾泵”之排氣侧 連接於圖上未顯示之淨化裝置,將通過排氣線15之排氣氣 體予以淨化後釋放至空氣中。 第二排氣管28依乾泵23之排氣速度、長度等,如形成约 40 mm之内徑。此時乾泵23之排氣容量小於tmp 22,因此, 第一排氣管28内徑小於第一排氣管25。 測定邯56安裝於連接於TMp 22之排氣侧的第二排氣管28 上。測足邵56於處理期間測定流入第二排氣管28内之氣體 中的微粒子量。微粒子係因附著、堆積於處理室13内之膜 大達某種程度時剝離而產生,形成良率降低的原因。因此, 藉由監視排氣氣體中之微粒子量,即可瞭解處理室1 3内的 污染狀態。 監視排氣氣體之測定部5 6於微粒子量達到特定量時,將 表示其内容之信號送至控制裝置12。控制裝置丨2依據該信 號暫時結束成膜處理,並開始進行洗淨處理。另外,測定 部56亦可設於閥門之給氣側或排氣側。 圖6顯示測定部56之構造,如圖6所示,測定部56由··光 源57、阻光器58、感光器59、及運算部60構成。 82926 -25- 1253109 光源57由雷射二極體等構成,並射出雷射光。光源57配 置於第二排氣管28之外壁的近旁。第二排氣管28内設有包 含石英或水晶之窗部28a。自光源57射出之雷射光經由窗部 28a照射於第二排氣管28的内部。光源57以通過第二排氣管 28之大致直徑上之方式照射雷射光。另外,雷射光只要可 定量性觀察流入管内之氣體中的微粒子量,亦可為雖不在 直徑上,而採某種方式通過管内的構造。 阻光器58配置於第二排氣管28内壁之雷射光的光程上。 阻光器5 8由吸收雷射光並防止反射的構件,如由實施無反 射塗層之藍寶石板構成。另外,亦可採用將阻光器58設於 第二排氣管28外壁的近旁,與前述石英等同樣地,經由雷 射光可通過之透過窗吸收雷射光的方式。 感光器59由光二極體等受光元件構成。感光器59設於第 二排氣管28外壁的近旁。於感光器59近旁之第二排氣管28 的管壁設有包含石英或水晶之窗部28b。窗部28b係以與窗 部28a構成約90°角度的方式形成於將第二排氣管28之長度 方向作為法線的大致同一平面上。 感光器59接收因通過第二排氣管28内部之排氣氣體中之 微粒子而散射之光。感光器59連接於運算部60,並輸出電 脈衝至運算部60。藉此,運算部60取得感光器5 9接收之光 量的相關資訊。 運算部60自感光器59接收之光量算出微粒子量。連接於 控制裝置12之運算部60於算出之微粒子量到達特定量時, 將表示其内容之信號送至控制裝置12。控制裝置12依據接 82926 -26 - 1253109 收之信號於結束成膜處理後開始進行洗淨處理。 此時,如以上所述,測定部56設於TMP22之排氣侧。TMP 22之排氣侧(第二排氣管28)的壓力高於給氣侧(第一排氣管 25)(真空度低),因而通過管内之氣體中之微粒子密度相對 較大’可獲得較高之檢測靈敏度。 此外,因管徑較小,微粒子於管内的分布差異亦較小, 因=γ位於雷射光程上之微粒子的分布較為均一,可檢測 差異等小而可靠性高的微粒子量。 以下,參照圖7說明圖5所示之第二種實施形態之處理裝 置11的動作。以下顯示之動作係其中—例,只要可獲得相 同結果,亦可採任何構造。 處理裝置11於處理室13内,太L、石d — 、 ^ 至U内在日曰®上逐片實施電漿處 理,於晶圓表面形成矽系膜(氧化矽膜)。處理裝置U對多片 晶圓連續地實施成膜處理。於處理裝置_動期間,測定 部56監視排氣氣體中之微粒子量。 k連績的成膜處理,產生於處理室13内之微粒子量逐漸 增加。如圖7所示,排氣氣體中之微粒子量到達特定量㈢ 時,測疋部56將表示其内容的信號送至控制裝置12。 技制裝置12接收該信號時 最後’暫時結束成膜處理。 後,控制裝置12開始進行洗 號後’特定數量或包含該晶 後’開始進行洗淨處理。 ’將當時實施處理之晶圓置於 自處理室13内搬出最後之晶圓 淨處理。另外,亦可於接收信 圓之批次的全部晶圓處理結束 開始進行洗淨處理後,首先, 控制裝置12將虛擬晶圓搬 82926 -27- 1253109 進處理室13内。其次,將處理室13内減壓至特定之真空度, 如減壓至102Pa (0·75 Torr),開始自洗淨氣體供給線5〇供給 洗淨氣體至處理室13。洗淨氣體如以]^3/氬=5〇〇 sccm/i〇⑻ seem之量供給。 藉由供給洗淨氣體,構成微粒子之附著、堆積於處理室 13内(矽系膜等分解成四氟化矽烷等而被除去。因此,如 圖7所不,處理室13之排氣氣體中所含之微粒子量逐漸減 少 〇 測足邵56於微粒子量減少至特定量(p2)時,將表示洗淨完 成之内容送至控制裝置12。控制裝置12接收該信號時,停 止供給洗淨氣體。洗淨氣體自處理室13内排出經過足夠時 間後,自處理室13搬出虛擬晶圓。至此結束洗淨處理,控 制裝置12再度開始進行成膜處理。 如以上之說明,第二種實施形態係於TMP 22之排氣側, 自排氣氣體取得處理室13内之資訊(微粒子量),並依據取得 之資訊控制處理室13内處理(洗淨)。TMp 22之排氣側因配 管徑較小,可避免測定值的偏差等。因而可依據可靠性高 之貧讯進行高精密度的處理控制’而防止過度洗淨,並可 縮短洗淨時間。 上述第二種實施形態係於第二排氣管2 8上直接設置測定 邙56。但是亦可採用於第二排氣管28上設置旁通管,於旁 通之中途設置測定邵5 6的構造。 上述第二種實施形態係採用依據微粒子量控制洗淨處理 的構造。但是判斷處理室内之污染狀態用的資訊並不限定 82926 -28 - 1253109 於排氣氣體中之微粒子量,亦可為產生於處理室13内之金 屬〉了染物等其他污染物質相關資訊,亦可依據此等資訊開 士口進行洗淨。此時分析金屬污染物之裝置宜為可有效測定 氣相中之金屬元素的前述四極型質量分析計。
此外’如圖8所示,亦可進一步設置質量分析器& FT-IR 等形成監視洗淨時堆積膜分解而產生之洗淨副生成物氣 體(如四氟化矽烷、氧等)之量的構造。 圖8所π之構造係於測定微粒子量之測定部%的排氣侧 配置有測定洗淨副生成物之量之四極型質量分析器等質量 刀析存6〇。另外質量分析器60亦可配置於測定部56的給氣 侧〇 ☆圖8所不之構造係於微粒子量超過特定量之後開始洗 甲。於洗淨時,排氣中之洗淨副生成物之量係藉由質量分 析器60監视。 後圖9概㈣示洗淨氣體副生成物(如四氟切垸(㈣⑶的 :化d面。如圖9所示,排氣中之四氟化硬燒之量於進行洗 =同時增加’隨即轉而減少。控制裝置似四氟化碎燒 又里減少至特定量時停止供給洗淨氣體。 上逑第二種實施形態之測定部56係監视微粒+量,於到 =定量時將其内容送至控制裝置12。但是,亦可採用測 :將檢測出之微粒子量資料送至控制裝置12,控制裝 -視微粒予量,判斷是否到達特定量的方式。 此外’並不限定於逐片曰尉眷 w , 、逐m貫施處理之單片式處理裝 办可適用於整批式的處理裝置。 82926 -29 - 1253109 上述第二種實施形態係以形成矽系膜,尤其是形成氟化 氧化矽膜為例作說明。不過形成之膜的種類亦可為碎氧化 膜等其他硬系膜或其他任何種類之膜。 上逑第二種實施形態之洗淨氣體係使用氟系氣體,尤其 是使用NF3者。不過用於洗淨之氣體並不限定於此,亦可使 用氟、六氟化硫、四氟化碳、五氟化二碳等氟系氣體,或 氯、四氯化硼等氯系氣體來取代NF3。此外,亦可以其他惰 性氣體,如氮、氖等加以稀釋,來取代以氬稀釋。 上述第二種實施形態係將洗淨氣體之電漿導至處理室13 内’不過亦可採用將洗淨氣體之氨供給至處理室13内,於 處理室13内產生電漿的構造。 再者,第二種實施形態之裝置並不限定於電漿處理裝 置,亦可適用於蝕刻裝置、濺射裝置、熱處理裝置等其他 裝置。 產業上之利用可行性 上述弟及第一種實施形態係於第一排氣機構之TMP 22 的排氣侧,自排氣氣體取得處理室13内之資訊,並依據取 得之資訊控制處理室13内之處理(ALD或洗淨)。因第一排氣 機構<排氣側的壓力較高(真空度低),測定靈敏度提高,且 配耳徑較小,因而可抑制較小之測定值偏差。因此可依據 取待 < 貝汛,藉由高精密度之處理控制進行可靠性高的處 理。 再者,罘—種實施形態之處理裝置及處理方法並不限定 於ALD,亦可適用於其他成膜處理、氧化處理、蝕刻處理 82926 -30 - 1253109 等須使用數種氣體快速切換處理氣氛的任意處理。 此外,第二種實施形態之處理裝置及處理方法並不限定 於使用弘漿處理裝置的洗淨處理,亦可適用於蝕刻裝置、 濺射裝置、熱處理裝置等其他裝置及其他處理。 另外,本發明並不限定於半導體晶圓s亦可適用於液晶 顯示裝置用基板。 如以上之說明,藉由本發明提供一種可自處理室之排氣 氣體取彳于特疋貧訊,依據取得之資訊進行高精密度之處理 控制的處理裝置及處理方法。 於不脫離本發明之精神及範圍内,本業者可對上述實施 形態進行各種改良。上述實施形態係基於圖解之目的,並 非限足本發明之範圍者。因此,本發明之範圍並非參照上 述記載者,而應涵蓋賦予下述申請專利範圍權利之均等的 全部範圍來決定。 本申請案係以日本專利申請特願2002-8465,受理日期 2002年1月17日為基礎,並包含其說明書、申請範圍、圖式 及發明摘要之内容。於此援用該申請案之全部内容。 【圖式簡單說明】 圖1係顯示本發明第一種實施形態之處理裝置的構造圖。 圖2係顯示圖1所示之測定部的構造圖。 圖3係顯示圖丨所示之處理裝置之成膜處理時的動作产 程。 ’瓜 圖4係顯示排氣氣體中之物質分壓的變化剖面圖。 圖5係頻示本發明第二種實施形態之處理裝置的構之” 82926 -31 - 1253109 圖6係顯示圖5所示之測定部的構造圖。 圖7係顯示排氣氣體中之微粒子量的變化剖面圖。 圖8係顯示第二種實施形態之處理裝置的變形例圖。 圖9係顯示排氣氣體中之四氟化矽量的變化剖面圖。 圖式代表符號說明 11 處理裝置 12 控制裝置 13 處理室 14 氣體供給線 15 排氣線 16 四氯化鈦源 17 氨源 18 氬(Ar)源 19 氬(Ar)源 20a, 20b, 20c5 20d 流量控制裝置 21a,21b,21c,21d 閥門 22 渦輪分子泵(TMP) 23 乾泵(DP) 24 測定部 25 第一排氣管 26 可變流量閥 27 閥門 28 第二排氣管 82926 -32 - 1253109
28a,28b 窗部 29 閥門 30 旁通管 30a 窗部 31 本體部 32 檢測部 33 光源 34 反射鏡 35 干擾儀 36 運算部 37 分束器 38 固定鏡 39 移動鏡 40 驅動機構 41 反射鏡 42 檢測器 50 洗淨氣體供給線 51 氨源 52 氬源 53 活化劑 54a 閥門 54b 閥門 55a MFC 82926 -33 - 1253109 55b MFC 56 測定部 57 光源 58 阻光器 59 感光器 60 運算部 82926 -34 -

Claims (1)

  1. 12534繼麵號專利申請案 中文申請專利範圍替換本(94年9月) 拾、申請專利範圍·· 一種成膜用處理裝置,其係具備: 處理機構,其係具備處理室,於前述處理室内對被處 理體實施特定處理; 第排氣機構,其係連接於前述處理室,並將前述處 理室内排氣至特定之真空壓力; 一昂-排痛構,其係連接於前述第—排氣機構,並將 則述處理I内排氣至前述第-排氣機構可動作之壓力; 其特徵在於具備: 訊取得機構’其係配置於前述第-排氣機構與前劫 排:機,之間’並取得自前述處理室排氣之排氣I 月且中 < 特足物質相關資訊;及 2. 、/構’其係依據以前述資訊取得機構取得之前述 貝::斷前述處理室内之狀態,來控制前述處理機構。 -種成膜用處理裝置,其係具備: 處理邵,其係具備處理室,於前述 體實施特定處理; ,罘排孔七’其係經由第-排氣管連接於前述處理 室’並將前述處理室内排氣至特定之真㈣力處理 其特徵在於具備: ’ 排氣管之第 並將前述處 第二排氣部,其係經由管徑小於前述第 二排氣管連接於前述第一排氣部之編 理室内排氣至前述第—排氣料動作之遷 82926-940929.doc Ϊ253109 /訊取得部’其係取得自前述處理室排氣而流入前述 1排氣管之排氣氣體中之特定物質相關資訊;及 "制α卩,其係依據以前述資訊取得部取得之前述資訊 3 、’斷如述處理立内之狀態,來控制前述處理部。 4申叫專利範圍第2項之成膜用處理裝置,其中進一步 :、:自則述第二排氣管分歧,旁通流入前述第二排氣管 <則述排氣氣體《敎管,前述資訊取得部係自流入前 4 ^ 足管之如述排氣氣體取得前述資訊。 如申叫專利範圍第2項之成膜用處理裝置,其中前述資 訊取得部具備測定前述特定物質之濃度之紅外分光分 析I置或貝量分析裝置,前述控制部依據前述資訊取得 邵測定之前述特定物質的濃度,控制前述處理部。 如申请專利範圍第2項之成膜用處理裝置,其中前述資 訊取得部具備測定前述排氣氣體中之碎片物質之分布 的紅外分光裝置,前述控制部依據前述資訊取得部測定 <前述碎片物質的分布控制前述處理部。 6· 一種成膜用處理裝置,其係具備: 處理室; 氣體供給機構,其係連接於前述處理室,並於前述處 理罜内以特足時間供給數個處理氣體中之一種處理氣 體; 第一排氣機構,其係連接於前述處理室,並將前述處 理室内排氣至特定之真空壓力· 82926-940929.doc -2- 1253109 第二排氣機構,其係連接於前述第—排氣機構,並將 則述處理罜内排氣至前述第一排氣機構可動作之壓力; 其特欲在於具備: 測定機構,其係配置於前述第—排氣機構與前述第二 排氣機構之間,载自前述處理室排氣之排氣氣體中之 前述處理氣體量;及 控制機構,其係依據以前述測定機構測定之前述處理 氣體量’㈣前述氣體供給機構供給之其他處理氣㈣。 7·如中請專利範圍第6項之成膜用處理裝置,α中前❹ 制機構於前述排氣氣體中之前述處理氣體量減少至特 足量時’開始藉由前述氣體供給機構向前述處理室内供 給其他處理氣體。 ,一®成Μ用處理裝置,其係具備: 處理室,其係於内部對被處理體進行特定處理; 洗淨機構,其係供給將前述處理室内予以潔淨化用之 洗淨氣體,來洗淨前述處理室内; 第—排氣機構,其係連接於前述處理室,並將前述處 理室内排氣至特定之真空壓力; 第二排氣機構,其係連接於前 二 接万、則述罘一排氣機構,並將 則逑處理罜内排氣至前述第一 、矛排乳機構可動作之壓力; 其特徵在於具備: 資訊取得機構,其係配 # — 、則述弟一排氣機構與前述 第一排氣機構之間,並取得自 取仔自則述處理室排氣之排氣氣 82926-940929.doc ^53109 9. 10 11. 12. 13. 體中之污染物質相關資訊;及控制機構,其係依據以前 述資訊取得機構取得之前述資訊判斷前述處理室内之 染狀態,來控制前述洗淨機構。 如申請專利範圍第8項之成膜用處理裝置,其中前述冷 >物貝係械粒子,前述控制機構於前述排氣氣體中之前 述微粒子量超過特定量時,洗淨前述處理室内。 如申凊專利範圍第9項之成膜用處理裝置,其中前述資 訊取得機構具備測定前述排氣氣體中之微粒子量之光 學式計數器。 如申請專利範圍第8或第9項之成膜用處理裝置,其中前 j資訊取得機構進一步具備測定前述排氣氣體中之經 則逑洗淨產生之副生成物量的副生成物測定機構, 二則述控制機構依據以前述副生成物測定機構測定之 則逑副生成物量控制前述洗淨機構。 、申μ專利範圍第8項之成膜用處理裝置,其中前述資 Λ:仔機構具備測定前述排氣氣體中之金屬元素種類 〜^ 、貝昼刀析裝置,前述控制機構依據以前述資訊取 得機構測定之前述金屬元素種類及量控制前述洗淨機 構。 -種成膜用處理方法,其係具備: 處步知,其係以内部收納被處理體之處理室進 定處理; 』呀 弟 排氣步驟,其{手葬+、击&、人乂丄a 你猎由連接於前述處理室之主排氣 82926-940929.doc 1253109 部將前述處理室内排氣至特定之真空壓力;及 第二排氣步驟,其係藉由連接於前述主排氣部之副排 氣部,將前述處理室内排氣至可藉由前述第一排氣步驟 排氣之壓力; 其特徵在於具備: 資訊取得步驟,其係取得藉由前述第一排氣步驟自前 述處理室排氣而流入前述主排氣部與前述副排氣部間 之排氣氣體中之特定物質相關資訊;及 控制步騾,其係依據以前述資訊取得步驟取得之前述 資訊判斷前述處理室内之狀態來控制前述處理。 14. 一種成膜用處理方法,其係具備: 處理步騾,其係以内部收納被處理體之處理室進行特 定處理;及 第一排氣步驟,其係藉由經由第一排氣管連接於前述 處理室之主排氣部將前述處理室内排氣至特定之真空 壓力; 其特徵在於具備: 第二排氣步驟,其係藉由經由管徑小於前述第一排氣 管之第二排氣管連接於前述主排氣部之副排氣部,將前 述處理室内排氣至可藉由前述第一排氣步驟排氣之壓 力; 資訊取得步驟,其係取得藉由前述第一排氣步驟自前 述處理室排氣而流入前述第二排氣管之排氣氣體中之 82926-940929.doc -5- 1253109 特定物質相關資訊;及 」工制步風’其係依據以前述資訊取得步驟取得之前述 Λ汛钊斷前述處理室内之狀態來控制前述處理。 15. t中料利_第叫之成膜用處財法,其中於前述 '二排:步知中’係藉由經由前述第二排氣管與旁通流 第Γ排氣f之氣體用之測定管而連接於前述主 乳4之田!J排氣邵,將前述處理室内排氣, 埏一凡取仵步驟中’自流入前述測定管之前述排 乳乳體取得前述資訊。 16. =料利範圍第14項之成膜用處理方法,其中於前述 置測由紅外分光分析裝置或質量分析装 以言^ =定物質之濃度’於前述控制步驟中,依據 17 - =得步驟所測定之前述濃度控制前述處理。 17·種成胰用處理方法,其係具備: 氣骨豆供給步驟,並在、人An、 以特定時門 收納被處理體之處理室内 寺3供給數個處理氣骨#中 第一排痛丰抓 &里乱把中<一種處理氣體’· 驟,其係藉由連接於前述處 ㈣前逑處理室内排氣至料之真空壓力^王排氣 弟一:乳步驟’其係藉由連接於前述主 氧邵,將前述處理室内排氣至可藉由前述^ =排 排氣之壓力; j k罘排風步驟 其特Μ在於具備: 測定步-其係測定藉由前述第-排氣步驟自前土 82926-940929.doc 1253109 理室排氣而流入前述主排氣部與前述副排氣部 氣氣體中之前述處理氣體之量;及 氣= 係依據以前述測定步驟測定之前述處理 :里,控制則述氣體供給步騾之其他處理氣體的供 18· 一種成膜用處理方法,其係具備: —=理步驟’其係以内部收納被處理體之處理室進行特 先淨步%,其係供給將前述處理室 洗㈣體,來洗淨前述處理室内; ^化用之 第—排氣步驟,其係藉由連接於前述處 部將前述處理室内排氣至特定之真空壓力;《王排氣 第二排氣步驟’其係藉由連接於前述 氣‘將前述處理室内排氣至可藉由前述第_排:副排 排氣之壓力; 排氣步驟 其特徵在於具備: 貝訊取得步驟,其係取得藉由前述第 述處理室排氣而流入前述主排氣部與前述=驟自前 之排氣氣體中之污染物質相關資訊.& 乳邵間 =驟,其係依據以前述資訊取得步 ㈤前述處理室内之污染狀態,控制前”則迷 之前逑處理室内的洗淨。 以則述洗淨步驟 82926-940929.doc
TW092101007A 2002-01-17 2003-01-17 Treatment apparatus and method therefor TWI253109B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002008465A JP3891848B2 (ja) 2002-01-17 2002-01-17 処理装置および処理方法

Publications (2)

Publication Number Publication Date
TW200407980A TW200407980A (en) 2004-05-16
TWI253109B true TWI253109B (en) 2006-04-11

Family

ID=19191425

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092101007A TWI253109B (en) 2002-01-17 2003-01-17 Treatment apparatus and method therefor

Country Status (8)

Country Link
US (1) US20050145333A1 (zh)
EP (1) EP1475825A4 (zh)
JP (1) JP3891848B2 (zh)
KR (1) KR100602926B1 (zh)
CN (1) CN1269191C (zh)
AU (1) AU2003235587A1 (zh)
TW (1) TWI253109B (zh)
WO (1) WO2003060969A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108070844A (zh) * 2016-11-16 2018-05-25 矽碁科技股份有限公司 原子层沉积设备及其抽气速率控制方法

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003215002A (ja) * 2002-01-17 2003-07-30 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
CN101082560A (zh) * 2004-03-29 2007-12-05 东京毅力科创株式会社 真空装置、其颗粒监控方法、程序以及颗粒监控用窗口部件
US7464581B2 (en) 2004-03-29 2008-12-16 Tokyo Electron Limited Vacuum apparatus including a particle monitoring unit, particle monitoring method and program, and window member for use in the particle monitoring
JP4486489B2 (ja) * 2004-12-22 2010-06-23 東京エレクトロン株式会社 処理方法及び処理装置
JP2006222136A (ja) * 2005-02-08 2006-08-24 Tokyo Electron Ltd 容量素子の製造方法及び半導体装置の製造方法並びに半導体製造装置
JP4803578B2 (ja) * 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
JP4943047B2 (ja) 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
US7833358B2 (en) * 2006-04-07 2010-11-16 Applied Materials, Inc. Method of recovering valuable material from exhaust gas stream of a reaction chamber
KR101346081B1 (ko) * 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
WO2008012665A1 (en) * 2006-07-27 2008-01-31 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of cleaning film forming apparatus and film forming apparatus
JP4849626B2 (ja) * 2007-01-16 2012-01-11 東京エレクトロン株式会社 パーティクルモニタシステム及び基板処理装置
JP5208128B2 (ja) * 2007-12-04 2013-06-12 フルテック株式会社 加圧ガスパルス制御処理方法及び加圧ガスパルス制御処理装置
JP5101438B2 (ja) * 2008-08-28 2012-12-19 株式会社日立ハイテクノロジーズ パーティクルモニタ及びそれを備えた基板処理装置
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101794069B1 (ko) * 2010-05-26 2017-12-04 삼성전자주식회사 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법
JP5722008B2 (ja) * 2010-11-24 2015-05-20 株式会社日立国際電気 半導体デバイスの製造方法、半導体デバイス及び基板処理装置
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
KR20140137172A (ko) * 2013-05-22 2014-12-02 최대규 자기 관리 기능을 갖는 원격 플라즈마 시스템 및 이의 자기 관리 방법
US10443127B2 (en) * 2013-11-05 2019-10-15 Taiwan Semiconductor Manufacturing Company Limited System and method for supplying a precursor for an atomic layer deposition (ALD) process
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
JP6396670B2 (ja) * 2014-04-15 2018-09-26 東京エレクトロン株式会社 成膜装置ならびに排気装置および排気方法
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR101712483B1 (ko) * 2015-02-27 2017-03-07 주식회사 에스에프에이 파티클 포집모듈 및 그를 구비하는 레이저 식각장치
KR102323319B1 (ko) * 2015-08-28 2021-11-09 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US20170084426A1 (en) * 2015-09-23 2017-03-23 Lam Research Corporation Apparatus for determining process rate
US9735069B2 (en) * 2015-09-23 2017-08-15 Lam Research Corporation Method and apparatus for determining process rate
JP6839206B2 (ja) * 2016-04-12 2021-03-03 ピコサン オーワイPicosun Oy 金属ウィスカの軽減のためのaldによる被覆
JP6479713B2 (ja) * 2016-07-11 2019-03-06 株式会社Kokusai Electric 半導体装置の製造方法、プログラムおよび基板処理装置
JP6752447B2 (ja) * 2016-12-21 2020-09-09 日本電気硝子株式会社 ガラス基板の製造装置及び製造方法
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10784174B2 (en) 2017-10-13 2020-09-22 Lam Research Corporation Method and apparatus for determining etch process parameters
JP7161603B2 (ja) * 2019-03-15 2022-10-26 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラムおよび基板処理装置
US11761083B2 (en) * 2019-09-19 2023-09-19 Applied Materials, Inc. Methods for controlling a flow pulse shape
TWI755979B (zh) * 2019-12-20 2022-02-21 台灣積體電路製造股份有限公司 薄膜沉積系統以及沉積薄膜方法
US11817297B2 (en) 2020-03-06 2023-11-14 Applied Materials, Inc. System and method for managing substrate outgassing
JP2021048405A (ja) * 2020-11-30 2021-03-25 ピコサン オーワイPicosun Oy 基板の保護

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004077024A1 (ja) * 1991-12-03 2004-09-10 Yasushi Hinaga 脱離ガスの検出装置および方法
JPH0613325A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Tohoku Ltd 熱処理装置および処理容器のクリーニング方法
JP2000161215A (ja) * 1998-12-01 2000-06-13 Sony Corp 真空排気システムを備えた処理チャンバ
JP4320924B2 (ja) * 1999-06-15 2009-08-26 東京エレクトロン株式会社 パーティクル計測装置及び処理装置
JP2001023969A (ja) * 1999-07-13 2001-01-26 Matsushita Electronics Industry Corp 排ガスモニタを備えたプラズマ装置およびその動作方法
JP4387573B2 (ja) * 1999-10-26 2009-12-16 東京エレクトロン株式会社 プロセス排気ガスモニタ装置及び方法、半導体製造装置、及び半導体製造装置管理システム及び方法
JP3735227B2 (ja) * 2000-01-24 2006-01-18 株式会社堀場製作所 半導体製造プロセスラインのガス流量計
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6843881B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Detecting chemiluminescent radiation in the cleaning of a substrate processing chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108070844A (zh) * 2016-11-16 2018-05-25 矽碁科技股份有限公司 原子层沉积设备及其抽气速率控制方法
CN108070844B (zh) * 2016-11-16 2019-10-18 矽碁科技股份有限公司 原子层沉积设备及其抽气速率控制方法

Also Published As

Publication number Publication date
EP1475825A1 (en) 2004-11-10
EP1475825A4 (en) 2007-03-14
CN1269191C (zh) 2006-08-09
WO2003060969A1 (fr) 2003-07-24
JP2003209103A (ja) 2003-07-25
KR100602926B1 (ko) 2006-07-20
US20050145333A1 (en) 2005-07-07
KR20040007738A (ko) 2004-01-24
CN1515024A (zh) 2004-07-21
JP3891848B2 (ja) 2007-03-14
AU2003235587A1 (en) 2003-07-30
TW200407980A (en) 2004-05-16

Similar Documents

Publication Publication Date Title
TWI253109B (en) Treatment apparatus and method therefor
EP1007762B1 (en) Method and apparatus for detecting the endpoint of a chamber cleaning
US6146492A (en) Plasma process apparatus with in situ monitoring, monitoring method, and in situ residue cleaning method
US5352902A (en) Method for controlling plasma surface-treatments with a plurality of photodetectors and optical filters
KR100644176B1 (ko) 고 유전상수 재료에 대해 증착실을 세정하는 방법
KR100940391B1 (ko) 반도체 처리용의 성막장치 및 그것의 사용 방법
US6455437B1 (en) Method and apparatus for monitoring the process state of a semiconductor device fabrication process
KR100887906B1 (ko) 에칭 적용을 위한 고압력 웨이퍼리스 자동 세정
US7964039B2 (en) Cleaning of plasma chamber walls using noble gas cleaning step
CN110268508B (zh) 等离子体处理方法
KR20080018810A (ko) 세정 공정의 종말점을 검출하는 방법
US20030136425A1 (en) Process endpoint detection in processing chambers
Hebner CF, CF2, and SiF densities in inductively driven discharges containing C2F6, C4F8, and CHF3
US20080038863A1 (en) Profiling solid state samples
US11295960B1 (en) Etching method
JPH0896988A (ja) プラズマモニタ装置およびプラズマモニタ方法
JP3284278B2 (ja) プラズマ処理装置
WO2001071790A1 (fr) Procede de fabrication d'un dispositif a semi-conducteur
US20040099282A1 (en) System and a method for cleaning process chambers and vacuum lines
TWI839584B (zh) 使用紅外線吸收進行處理氣體解離之在線測量
JPH0343775B2 (zh)
Han et al. Modified PCA algorithm for the end point monitoring of the small open area plasma etching process using the whole optical emission spectra
JPS627274B2 (zh)

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees