KR100602926B1 - 처리 장치 및 처리 방법 - Google Patents

처리 장치 및 처리 방법 Download PDF

Info

Publication number
KR100602926B1
KR100602926B1 KR1020037016578A KR20037016578A KR100602926B1 KR 100602926 B1 KR100602926 B1 KR 100602926B1 KR 1020037016578 A KR1020037016578 A KR 1020037016578A KR 20037016578 A KR20037016578 A KR 20037016578A KR 100602926 B1 KR100602926 B1 KR 100602926B1
Authority
KR
South Korea
Prior art keywords
exhaust
chamber
gas
processing
information
Prior art date
Application number
KR1020037016578A
Other languages
English (en)
Other versions
KR20040007738A (ko
Inventor
간난히로시
마츠오카다카아키
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20040007738A publication Critical patent/KR20040007738A/ko
Application granted granted Critical
Publication of KR100602926B1 publication Critical patent/KR100602926B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Abstract

챔버(13)에 접속된 배기 라인(15)은 TMP(22)와 드라이 펌프(23)로 구성되어 있다. 챔버(13)와 TMP(22)는 제 1 배기관(25)에 의해 접속되고, 또한 TMP(22)와 드라이 펌프(23)는 제 2 배기관(28)에 의해 접속되어 있다. 측정부(24)는 제 2 배기관(28)을 흐르는 배기 가스중의 TiCl4 또는 NH3의 분압을 모니터링하고 있다. 챔버(13)내에는, 2종의 처리 가스가 교대로 소정 시간 공급되고, 공급된 한쪽 처리 가스의 배기 가스중의 분압이 소정값까지 감소하면, 제어 장치(12)는 다른쪽 처리 가스의 공급을 개시한다.

Description

처리 장치 및 처리 방법{PROCESSING DEVICE AND PROCESSING METHOD}
본 발명은 반도체 웨이퍼 등의 피처리체에 성막 처리 등의 소정의 처리를 실시하는 처리 장치 및 처리 방법에 관한 것이다.
현재, 반도체 집적 회로의 미세화, 고집적화에 따라, 기판 등의 기판 표면에 형성되는 배선 홈 등의 패턴의 미세화가 진행되고 있다. 이 때문에, 배선 금속의 하지막(下地膜)으로서 박막을 형성하는 경우 등, 미세한 배선 홈내에 매우 얇은 막을 균일하게, 양호한 범위로 형성하는 것이 요구된다. 이러한 요청에 따라, 최근 양호한 막품질로 원자층 레벨의 막을 형성 가능한 방법으로서, 원자층 퇴적법(Atomic Layer Deposition: ALD)으로 불리는 방법이 개발되고 있다.
ALD는 예컨대 하기와 같은 공정으로 구성된다. 하기에 나타낸 예에서는, 배선 패턴(배선 홈)이 형성된 기판의 표면에, 사염화 티탄 가스 및 암모니아 가스를 사용하여, 질화 티탄으로 이루어지는 하지막을 형성하는 경우에 대하여 설명한다.
우선, 챔버내에 기판을 수용하여 챔버내를 진공 흡인한다. 계속해서, 챔버내에 사염화 티탄 가스를 도입한다. 이로써, 기판의 표면에 사염화 티탄 분자가 다원자층에 흡착한다. 그 후, 챔버내를 불활성 가스로 퍼지(purge)하여, 이로써 기판 표면에 흡착된 거의 1원자층 정도의 사염화 티탄 분자를 남겨, 챔버내로부터 사염화 티탄을 제거한다.
퍼지 후, 챔버내에 암모니아 가스를 도입한다. 이로써, 기판의 표면에 흡착된 사염화 티탄 분자와 암모니아 분자가 반응하여, 기판의 표면에 거의 1원자층 정도의 질화 티탄층이 형성된다. 이 때, 형성된 질화 티탄층상에는, 암모니아 분자가 다원자층으로 흡착되어 있다. 그 후, 챔버내를 불활성 가스로 퍼지하고, 질화 티탄층상에 흡착된 거의 1원자층 정도의 암모니아 분자를 남겨, 챔버내로부터 암모니아를 제거한다.
계속해서, 다시 사염화 티탄 가스를 챔버내에 도입한다. 이로써, 흡착된 암모니아 분자와 사염화 티탄이 반응하여 새로운 1원자층 정도의 질화 티탄층이 형성된다. 즉, 이 상태에서는 거의 2원자층의 질화 티탄층이 형성되게 된다.
또한, 이 때 질화 티탄층상에는 사염화 티탄 분자가 다원자층에 흡착되어 있다. 그 후, 챔버내를 불활성 가스로 퍼지함으로써, 질화 티탄층상에 거의 1원자층 정도의 사염화 티탄이 흡착된 상태로 된다. 그 후, 상기와 같이, 암모니아 가스의 도입, 퍼지, 사염화 티탄 가스의 도입, 퍼지 등과 같이, 챔버내의 분위기를 교대로 전환하여, 소정의 원자층에 상당하는 두께의 질화 티탄층을 형성한다. 예컨대, 챔버내의 가스 분위기를 수십 내지 수백회 전환함으로써, 수 ㎚ 내지 수십 ㎚의 질화 티탄막을 형성할 수 있다. 또한, 불활성 가스에 의한 퍼지 대신에, 진공 배기를 실행할 수도 있다.
상기 ALD에 있어서, 챔버내로의 가스의 공급 및 정지의 전환은 챔버내의 실제 상태에 관계없이, 미리 실험 등에 의해 구한 처리 시퀸스에 기초하여 실행된다. 이 때문에, 챔버내의 원료 가스가 실제로는 충분히 퍼지되지 않는 가운데 다음 원료 가스가 도입되면, 기상중에서 사염화 티탄과 암모니아가 반응하게 된다. 이러한 기상 반응은 기판상에 형성되는 막의 품질을 저하시킨다. 따라서, 챔버내의 실제 상태를 나타내는 정보에 기초하여 가스 공급을 제어하는 것이 바람직하다.
챔버내의 상태에 관한 정보에 기초하여 프로세스를 제어하는 방법으로서, 챔버를 진공 흡인하는 배기 라인에 배기 가스로부터 소정의 정보, 예컨대 소정 물질의 농도에 관한 정보를 취득하는 측정 장치를 설치하는 방법이 있다. 예컨대, 일본 특허 공개 공보 제 1997-134912 호에는, 배기 가스중의 소정 물질의 농도를 검출하여, 챔버내의 소정 물질의 농도가 일정하게 되도록 제어하는 반도체 제조 장치가 개시되어 있다.
여기서, 배기 라인은 챔버에 접속된 터보 분자 펌프(TMP) 등의 메인 펌프와, 메인 펌프에 접속된 드라이 펌프(DP) 등의 서브 펌프[거친 펌프]를 구비한다. 서브 펌프는 챔버내를 메인 펌프가 동작 가능한 중간 정도의 진공 상태까지 감압하고, 그 후 메인 펌프가 고진공 상태까지 감압한다. 상기 배기 가스로부터 소정의 정보를 취득하는 구성에서는, 측정 장치가 배기 라인의 TMP의 급기측에 설치된다.
그러나, TMP의 급기측은 챔버와 거의 동일한 저압 상태에 있고, 배기 가스중의 물질 농도는 낮다. 또한, TMP의 흡기 능력에 따라, 챔버와 TMP를 접속하는 관은 비교적 큰 직경이기 때문에, 관내에서의 물질 농도의 편차가 비교적 커진다. 이 때문에, 충분히 높은 측정 감도를 얻을 수 없고, 또한 검출 위치에 따라 측정값의 편차, 신뢰성이 높은 정보를 얻을 수 없을 우려가 있다. 이러한 경우, 특히 원자층 레벨이 치밀한 막을 형성하는 ALD에서는, 막품질이 저하하는 등, 처리의 신뢰성이 저하한다.
또한, ALD에 한정하지 않고, 성막, 에칭 등의 다른 처리를 실행하는 처리 장치에 대해서도 동일하다고 할 수 있다. 즉, 일본 특허 공개 공보 제 1994-120149호 등에 기재되어 있는 바와 같은, 배기 라인에 입자를 검출하는 입자 모니터 장치를 배치하여, 배기 중인 입자량을 모니터링하는 구성을 갖는 처리 장치가 개시되어 있다. 이 경우, 배기관이 비교적 큰 직경이기 때문에, 배기관 중의 입자 분포의 편차가 커져, 입자량의 고정밀도의 검출을 실행할 수 없어, 처리의 신뢰성이 저하될 가능성이 있다.
이와 같이, 종래의 배기 가스로부터 소정의 정보를 취득하고, 취득한 정보에 기초하여 프로세스를 제어하는 처리 장치는 저압이며 비교적 배관 직경이 크다. 메인 펌프의 급기측에서 정보를 취득하기 때문에, 충분히 신뢰성이 높은 정보를 얻을 수 없고, 정밀도가 높은 프로세스 제어를 실행할 수 없을 우려가 있었다.
발명의 요약
상기 사정을 감안하여, 본 발명은 챔버의 배기 가스로부터 소정의 정보를 취득하고, 취득한 정보에 기초하여, 정밀도가 높은 프로세스 제어를 실행할 수 있는 처리 장치 및 처리 방법을 제공하는 것을 목적으로 한다.
상기 목적을 달성하기 위해서, 본 발명의 제 1 실시예에 따른 처리 장치는, 챔버를 구비하고, 상기 챔버내에서 피처리체에 소정의 처리를 실시하는 처리 수단과, 상기 챔버에 접속되어, 상기 챔버내를 소정의 진공 압력까지 배기하는 제 1 배기 수단과, 상기 제 1 배기 수단에 접속되어, 상기 제 1 배기 수단이 동작 가능한 압력까지 상기 챔버내를 배기하는 제 2 배기 수단과, 상기 제 1 배기 수단과 상기 제 2 배기 수단 사이에 배치되어, 상기 챔버로부터 배기되는 배기 가스중의 소정 물질에 관한 정보를 취득하는 정보 취득 수단과, 상기 정보 취득 수단에서 취득되는 상기 정보에 기초하여 상기 챔버내의 상태를 판별하여 상기 처리 수단을 제어하는 제어 수단을 구비하는 것을 특징으로 한다.
상기 구성에서는, 제 1 배기 수단(예컨대, 터보 분자 펌프)과, 제 1 배기 수단보다도 고압으로 작동하는 제 2 배기 수단(예컨대, 드라이 펌프) 사이를 흐르는 배기 가스중의 소정 물질의 정보(예컨대, 농도)를 취득한다. 제 1 배기 수단의 배기측(제 1 배기 수단과 제 2 배기 수단 사이)은 제 1 배기 수단의 흡기측(챔버와 제 1 배기 수단 사이)보다도 고압이 되기 때문에(진공도가 낮음), 이 부분을 흐르는 배기 가스의 물질 농도는 상대적으로 높아져 분석 감도가 향상된다. 따라서, 신뢰성이 높은 정보의 취득이 가능해져, 고정밀도의 프로세스 제어를 실행할 수 있다.
상기 목적을 달성하기 위해서, 본 발명의 제 2 실시예에 따른 처리 장치는,챔버를 구비하고, 상기 챔버내에서 피처리체에 소정의 처리를 실시하는 처리부와, 상기 챔버에 제 1 배기관을 거쳐 접속되어, 상기 챔버내를 소정의 진공 압력까지 배기하는 제 1 배기부와, 상기 제 1 배기부의 배기측에, 상기 제 1 배기관보다도 작은 직경의 제 2 배기관을 거쳐 접속되어, 상기 제 1 배기부가 동작 가능한 압력까지 상기 챔버내를 배기하는 제 2 배기부와, 상기 챔버로부터 배기되어, 상기 제 2 배기관을 흐르는 배기 가스중의 소정 물질에 관한 정보를 취득하는 정보 취득부와, 상기 정보 취득부에서 취득되는 상기 정보에 기초하여 상기 챔버내의 상태를 판별하여 상기 처리부를 제어하는 제어부를 구비하는 것을 특징으로 한다.
상기 구성에서는, 제 1 배기부(예컨대, 터보 분자 펌프)와, 제 1 배기부보다도 고압으로 작동하는 제 2 배기 수단(예컨대, 드라이 펌프)을 접속하는 비교적 작은 직경의 제 2 배기관을 흐르는 배기 가스중의 소정 물질의 정보(예컨대, 농도, 입자량)를 취득한다. 제 1 배기관 내부보다도 고압이고(진공도가 낮음), 또한 제 1 배기관보다 작은 직경의 제 2 배기관내에서는, 물질 농도가 상대적으로 높으며, 또한 그 편차가 작기 때문에, 신뢰성이 높은 정보의 취득이 가능해져, 고정밀도의 프로세스 제어를 실행할 수 있다.
상기 처리 장치는 또한 상기 제 2 배기관으로부터 분기되어, 상기 제 2 배기관을 흐르는 상기 배기 가스를 바이패스하는 측정관을 구비할 수도 있고, 상기 정보 취득부는 상기 측정관을 흐르는 상기 배기 가스로부터 상기 정보를 취득할 수도 있다.
상기 처리 장치는 상기 정보 취득부에 상기 소정 물질의 농도를 측정하는 적외 분광 분석 장치 또는 질량 분석 장치를 구비하고, 상기 제어부는 상기 정보 취득부가 측정한 상기 소정 물질의 농도에 기초하여, 상기 처리부를 제어하도록 할 수도 있다. 여기서, 적외 분광 분석 장치로는 푸리에 변환 적외 분광 장치(FT-IR)가 바람직하고, 질량 분석장치로는 4중극형 질량 분석계가 바람직하다.
상기 처리 장치는 상기 정보 취득부에 상기 배기 가스중의 프래그먼트(fragment) 물질의 분포를 측정하는 적외 분광 장치를 구비하고, 상기 제어부는, 상기 정보 취득부가 측정한 상기 단편 물질의 분포에 기초하여, 상기 처리부를 제어하도록 할 수도 있다. 여기서, 적외 분광 분석 장치로는 푸리에 변환 적외 분광 장치(FT-IR)가 바람직하다.
상기 목적을 달성하기 위해서, 본 발명의 제 3 실시예에 따른 처리장치는, 챔버와, 상기 챔버에 접속되어, 상기 챔버내에 복수의 처리 가스중 하나의 처리 가스를 소정 시간 공급하는 가스 공급 수단과, 상기 챔버에 접속되어, 상기 챔버내를 소정의 진공 압력까지 배기하는 제 1 배기 수단과, 상기 제 1 배기 수단에 접속되어, 상기 제 1 배기 수단이 동작 가능한 압력까지 상기 챔버내를 배기하는 제 2 배기 수단과, 상기 제 1 배기 수단과 상기 제 2 배기 수단 사이에 배치되어, 상기 챔버로부터 배기되는 배기 가스중 상기 처리 가스의 양을 측정하는 측정 수단과, 상기 측정 수단으로 측정되는 상기 처리 가스의 양에 기초하여, 상기 가스 공급 수단에 의한 다른 처리 가스의 공급을 제어하는 제어 수단을 구비하는 것을 특징으로 한다.
즉, 상기 구성의 처리 장치는 챔버내의 가스 분위기를 반복 치환하여 처리를 실행하는, 예컨대 원자층 퇴적법(ALD) 등의 처리에 적용 가능하고, 가스의 전환을 고정밀도로 제어 가능하기 때문에, 신뢰성이 높고, 또한 생산성이 높은 처리를 실행하는 것이 가능해진다.
상기 구성에 있어서, 예컨대 상기 제어 수단은 상기 배기 가스중의 상기 처리 가스의 양이 소정량까지 감소했을 때에, 상기 가스 공급 수단에 의한 상기 챔버내로의 다른 처리 가스의 공급을 개시한다.
상기 목적을 달성하기 위해서, 본 발명의 제 4 실시예에 따른 처리 장치는, 내부에서 피처리체에 소정의 처리를 실행하는 챔버와, 상기 챔버내를 청정화하기 위한 클리닝 가스를 공급하여 상기 챔버내를 클리닝하는 클리닝 수단과, 상기 챔버에 접속되어, 상기 챔버내를 소정의 진공 압력까지 배기하는 제 1 배기 수단과, 상기 제 1 배기 수단에 접속되어, 상기 제 1 배기 수단이 동작 가능한 압력까지 상기 챔버내를 배기하는 제 2 배기 수단과, 상기 제 1 배기 수단과 상기 제 2 배기 수단 사이에 배치되어, 상기 챔버로부터 배기되는 배기 가스중의 오염 물질에 관한 정보를 취득하는 정보 취득 수단과, 상기 정보 취득 수단에서 취득되는 상기 정보에 기초하여 상기 챔버내의 오염 상태를 판별하여 상기 클리닝 수단을 제어하는 제어 수단을 구비하는 것을 특징으로 한다.
즉, 상기 구성의 처리 장치는 챔버의 드라이 클리닝에 적용 가능하고, 고정밀도로 클리닝을 제어 가능하기 때문에, 과도한 클리닝 등이 방지된 효율적인 클리닝이 가능해진다.
상기 구성에 있어서, 예컨대 상기 오염 물질은 입자이고, 상기 제어 수단은 상기 배기 가스중의 상기 입자량이 소정량 이상으로 되었을 때에, 상기 챔버내를 클리닝하도록 할 수도 있다. 여기서, 상기 정보 취득 수단은 입자량을 측정하는 장치로서, 광학식 카운터를 구비하는 것이 바람직하다.
상기 처리 장치는, 또한 상기 정보 취득 수단에 상기 배기 가스중의 상기 클리닝에 의해 발생된 부생성물의 양을 측정하는 부생성물 측정 수단을 구비하고, 상기 제어 수단은 상기 부생성물 측정 수단으로 측정되는 상기 부생성물의 양에 기초하여, 상기 클리닝 수단을 제어하도록 할 수도 있다. 여기서, 부생성물 측정 수단으로는 4중극형 질량 분석계 또는 FT-IR이 바람직하다.
상기 처리 장치는, 또한 상기 정보 취득 수단에 상기 배기 가스중의 금속 원소의 종류 및 양을 측정하는 질량 분석 장치를 구비하고, 상기 제어 수단은 상기 정보 취득 수단으로 측정되는 상기 금속 원소의 종류 및 양에 기초하여, 상기 클리닝 수단을 제어하도록 할 수도 있다. 여기서, 질량 분석 장치로는 4중극형 질량 분석계가 바람직하다.
상기 목적을 달성하기 위해서, 본 발명의 제 5 실시예에 따른 처리 방법은, 내부에 피처리체를 수용하는 챔버로 소정의 처리를 실행하는 처리 공정과, 상기 챔버에 접속되는 메인 배기부에 의해, 상기 챔버내를 소정의 진공 압력까지 배기하는 제 1 배기 공정과, 상기 메인 배기부에 접속되는 서브 배기부에 의해, 상기 챔버내를 상기 제 1 배기 공정에 의해 배기 가능한 압력까지 배기하는 제 2 배기 공정을 구비하는 처리 방법으로서, 상기 제 1 배기 공정에 의해 상기 챔버로부터 배기되고 상기 메인 배기부와 상기 서브 배기부 사이를 흐르는 배기 가스중의 소정 물질에 관한 정보를 취득하는 정보 취득 공정과, 상기 정보 취득 공정에서 취득한 상기 정보에 기초하여 상기 챔버내의 상태를 판별하여 상기 처리를 제어하는 제어 공정을 구비하는 것을 특징으로 한다.
상기 구성의 방법에서는, 메인 배기부와, 메인 배기부보다도 고압으로 작동하는 서브 배기부 사이를 흐르는 배기 가스중의 소정 물질의 정보(예컨대, 농도)를 취득한다. 메인 배기부의 흡기측과 비교하여, 메인 배기부의 배기측(메인 배기부와 서브 배기부 사이)은 상대적으로 고압이다(진공도가 낮음). 그 때문에, 배기 가스중의 물질 농도가 비교적 높아져 분석 감도가 향상하기 때문에, 신뢰성이 높은 정보의 취득이 가능해져, 고정밀도의 프로세스 제어를 실행할 수 있다.
도 1은 본 발명의 제 1 실시예에 따른 처리 장치의 구성을 도시한 도면,
도 2는 도 1에 도시한 측정부의 구성을 도시한 도면,
도 3은 도 1에 도시한 처리 장치의 성막 처리시의 동작을 도시한 흐름도,
도 4는 배기 가스중의 물질 분압의 변화 프로파일을 도시한 도면,
도 5는 본 발명의 제 2 실시예에 따른 처리 장치의 구성을 도시한 도면,
도 6은 도 5에 도시한 측정부의 구성을 도시한 도면,
도 7은 배기 가스중의 입자량의 변화 프로파일을 도시한 도면,
도 8은 제 2 실시예의 처리 장치의 변형예를 도시한 도면,
도 9는 배기 가스중의 SiF4량의 변화 프로파일을 도시한 도면.
이하, 본 실시예에 따른 처리 장치 및 처리 방법에 대하여 도면을 참조하여 설명한다.
(제 1 실시예)
제 1 실시예에서는, 사염화 티탄(TiCl4) 가스와 암모니아(NH3) 가스를 진공 배기를 삽입하여 챔버내에 교대로 공급하고, 반도체 웨이퍼(이하, 웨이퍼)의 표면에 질화 티탄(TiN)막을, 소위 원자층 성막법(Atomic Layer Deposition : ALD)을 사용하여 성막하는 처리 장치를 예로서 설명한다.
도 1에, 본 실시예에 따른 처리 장치(11)의 구성을 나타낸다.
도 1에 도시한 바와 같이, 처리 장치(11)는 제어 장치(12)와, 챔버(13)와, 가스 공급 라인(14)과, 배기 라인(15)을 구비한다.
제어 장치(12)는 후술하는 성막 처리에 관한 처리 장치(11) 전체의 동작을 제어한다. 또한, 이해를 용이하게 하기 위해, 제어 장치(12)의 동작의 상세한 설명은 생략한다.
챔버(13)는 진공 흡인 가능하게 구성되고, 그 내부에 피처리체인 웨이퍼가 수용된다. 챔버(13)의 내부에 있어서, 웨이퍼에 후술하는 ALD 처리가 실시되고, TiN막이 형성된다.
가스 공급 라인(14)은 TiCl4원(16)과, NH3원(17)과, 2개의 아르곤(Ar)원(18, 19)을 구비하고, 각 가스원(16, 17, 18, 19)은 챔버(13)에 MFC(질량 유량 제어기: Mass Flow Controller) 등의 유량 제어 장치(20a, 20b, 20c, 20d)와, 밸브(21a, 21b, 21c, 21d)를 거쳐 각각 접속되어 있다. 가스 공급 라인(14)으로부터 챔버(13)내에 TiCl4 가스 및 NH3 가스가 Ar 가스에 의해 희석되어 공급된다.
배기 라인(15)은 터보 분자 펌프(TMP)(22)와, 드라이 펌프(DP)(23)와, 측정부(24)를 구비한다. 배기 라인(15)은 챔버(13)에 접속되고, 배기 라인(15)을 거쳐 챔버(13)는 배기되며, 소정의 압력 상태까지 감압된다.
TMP(22)는 챔버(13)와 제 1 배기관(25)을 거쳐 접속되어 있다. 제 1 배기관(25)에는 가변 유량 밸브(26)와, 밸브(27)가 챔버(13)측으로부터 순차적으로 설치되어 있다. TMP(22)는 챔버(13)내를 고진공 상태로 감압한다. 또한, 가변 유량 밸브(26)는 챔버(13)내를 소정의 고진공 상태로 유지한다. 제 1 배기관(25)은 TMP(22)의 배기 속도, 길이 등으로부터, 예컨대 50㎜ 정도의 내경으로 되어 있다. 또한, TMP(22) 대신에 메커니컬 드롭 펌프(mechanical drop pump) 등의 다른 고진공 형성용의 펌프를 사용할 수도 있다.
드라이 펌프(23)는 TMP(22)의 배기측에 제 2 배기관(28)에 의해 접속되어 있다. TMP(22)와 드라이 펌프(23) 사이에는 밸브(29)가 설치되어 있다. 드라이 펌프(23)는 거친 펌프로서 기능하고, 챔버(13)내를 TMP(22)가 작동 가능한 압력으로 한다. 드라이 펌프(23)의 배기측은 도시하지 않은 제해(除害) 장치에 접속되어 있고, 배기 라인(15)을 통과한 배기 가스는 무해화되어 대기로 방출된다.
제 2 배기관(28)은 드라이 펌프(23)의 배기 속도, 길이 등으로부터, 예컨대 40㎜ 정도의 내경으로 된다. 여기서, 드라이 펌프(23)는 TMP(22)보다도 배기 용량 이 작고, 따라서 제 2 배기관(28)은 제 1 배기관(25)보다도 작은 직경으로 된다.
측정부(24)는 그 양단부가 제 2 배기관(28)에 접속된 바이패스관(30)의 중간에 설치되어 있다. 바이패스관(30)의 양단부는 밸브의 급기측에 접속되어 있다. 바이패스관(30)은 제 2 배기관(28)과 거의 동일한 내경을 갖는다. 또한, 바이패스관(30)의 양단부에 밸브를 설치할 수도 있다.
측정부(24)는 바이패스관(30)을 통과하는 배기 가스중의 TiCl4 가스의 분압과, NH3 가스의 분압을 측정하여 모니터링하고 있다. 측정부(24)의 구성을 도 2에 나타낸다. 측정부(24)는 소위 FT-IR(푸리에 변환 적외 분광 장치)의 구성을 갖고, 도 2에 도시한 바와 같이 본체부(31)와, 검출부(32)로 구성되어 있다.
본체부(31)는 적외광을 출사하는 광원(33)과, 출사된 광의 광로상에 배치되어 소정 방향으로 반사하는 반사 미러(34)와, 반사 미러(34)에 의해 반사된 광의 광로상에 배치된 간섭계(35)와, 제어 장치(12)에 접속된 연산부(36)로 구성되어 있다.
간섭계(35)는 반사 미러(34)로 반사된 광이 유도되고 이 광을 복수의 광으로 분기하는 빔 스플리터(beam splitter)(37)와, 빔 스플리터(37)에 의해 분기된 광의 각각의 광로상에 배치되어 있는 고정 미러(38) 및 이동 미러(39)와, 이동 미러(39)를 구동하는 구동 기구(40)로 구성된다. 또한, 구동 기구(40)는 연산부(36)에 접속되어 있다.
검출부(32)는 바이패스관(30)을 거친 본체부(31)의 반대측에 배치되어 있다. 바이패스관(30)의 관벽에는, 석영 등으로 이루어지는 창문부(30a)가 설치되고, 본체부(31)로부터 출사된 광이 창문부(30a)를 거쳐 바이패스관(30)을 통과하는 구성으로 되어 있다. 검출부(32)는 바이패스관(30)을 통과한 광의 광로상에 배치되고 이 광을 소정 방향으로 반사하는 반사 미러(41)와, 반사 미러(41)로부터 반사된 광을 수광하는 검출기(42)로 구성되어 있다. 검출기(42)는 본체부(31)의 연산부(36)에 접속되어 있다.
상기 구성의 측정부(24)는 하기와 같이 배기 가스중의 소정 물질, 즉 TiCl4 및 NH3의 분압을 측정한다. 연산부(36)는 광원(33)으로부터 적외광이 출사된 상태에서, 구동 기구(40)에 의해 이동 미러(39)를 동작시킨다. 이로써, 이동 미러(39)에 입사 및 반사하는 광과, 고정 미러(38)에 입사 및 반사하는 광의 광로차가 변화되고, 2개의 미러(38, 39)에 의해 반사되어 빔 스플리터(37)에서 다시 합성된 합성광은 서로 간섭하여, 시간적으로 강도가 변화된다. 이 합성광이 창문부(30a)를 거쳐 바이패스관(30)내를 통과한다. 바이패스관(30)을 통과한 광은 반사 미러(41)에서 집광되어, 검출기(42)로 유도된다.
검출기(42)는 수광한 광의 광 강도 데이터를 연산부(36)로 송출한다. 연산부(36)는 검출기(42)가 검출한 광 강도의 시간 변화[인터페로그램(interferogram)]를 푸리에 변환하여, 적외 흡수 스펙트럼을 얻는다. 연산부(36)는 얻어진 적외 흡수 스펙트럼로부터 바이패스관(30)을 통과하는 배기 가스중의 소정 물질의 분압을 산출한다. 연산부(36)는 이 분압의 시간 변화를 모니터링하고 있어, 분압이 소정값에 이르면, 제어 장치(12)에 그 정보를 나타내는 신호를 송출한다. 제어 장치(12)는 받은 신호에 기초하여, 가스 공급 라인(14)으로부터 챔버(13)내로의 가스 공급의 제어를 실행한다.
상기와 같이, 측정부(24)는 TMP(22)의 배기측에 배치되어 있고, 배기 가스중의 TiCl4 및 NH3의 분압의 측정은 TMP(22)의 배기측에서 실행된다. 여기서, TMP(22)의 배기측은 급기측보다도 고압이고(진공도가 낮음), 배기 가스중의 물질 농도(분압)는 상대적으로 높다. 이 때문에, TMP(22)의 급기측에서 측정하는 경우보다도 높은 측정 감도가 얻어져, 신뢰성이 높은 정보(분압 데이터)가 얻어진다.
또한, 바이패스관(30)은 제 2 배기관(28)과 동일 직경이고, 제 1 배기관(25)보다도 작은 직경이다. 이 때문에, 바이패스관(30)내에서의 물질 분포의 편차는 TMP(22)의 급기측에서 측정하는 경우보다도 작고, 광학적인 측정에 의해서도 측정값의 편차가 작고, 신뢰성이 높은 정보가 취득된다.
이와 같이, TMP(22)의 배기측에 설치된 측정부(24)로부터 얻어진 신뢰성이 높은 정보에 기초하여, 제어 장치(12)는 챔버(13)내의 가스의 전환 등의 프로세스를 높은 정밀도로 제어할 수 있다. 또한, 배기 시간을 최적화하여, 처리 효율의 향상을 도모할 수 있다.
이하, 제 1 실시예의 처리 장치(11)의 동작에 대하여, 도 3을 참조하여 설명한다. 또한, 도 3에 도시한 흐름도는 일례이며, 동일한 결과물이 얻어지는 것이면, 어떠한 구성이어도 무방하다.
우선, 제어 장치(12)는, 챔버(13)내에 웨이퍼를 반입한다(단계 S11). 그 후, 드라이 펌프(23)에 의해, 챔버(13)내를 소정의 압력까지 감압하고, 또한 TMP(22)에 의해, 예컨대 4×102㎩(3Torr)까지 감압하여 유지한다(단계 S12).
이어서, 처리 장치(11)는 밸브(21a, 21c)를 개방하여 TiCl4 가스 및 Ar 가스의 공급을 개시한다(단계 S13). 여기서, TiCl4 가스 및 Ar 가스는 예컨대 TiCl4/Ar=30sccm/1000sccm의 유량으로 공급된다. 챔버(13)내로의 가스의 공급은 소정 시간, 예컨대 O.5초간 실행한다. TiCl4 가스의 공급에 의해, 웨이퍼의 표면에는 TiCl4 분자가 다층으로 흡착된다.
제어 장치(12)는 그 후 밸브(21a, 21c)를 폐쇄하고, TiCl4 가스 및 Ar 가스의 공급을 정지한다. 가스 공급의 정지 후, 챔버(13)내는 배기되고, 챔버(13)내의 TiCl4 가스는 제거된다(단계 S14). 이 때, 배기는 챔버(13)내의 TiCl4 분압이 충분히 낮아질 때까지 실행되고, 예컨대 배기 가스중의 TiCl4의 분압이 예컨대 10-1㎩(O.75×10-3Torr) 미만으로 될 때까지 실행된다. 챔버(13)내의 배기는 웨이퍼의 표면에 흡착된 거의 1층 정도의 TiCl4 분자를 남겨서 챔버(13)내로부터 TiCl4 분자가 제거되고, TiCl4가 후에 공급되는 NH3와 기상중에서 반응하지 않는 농도로 될 때까지 실행된다(단계 S15).
여기서, 측정부(24)는 처리의 개시 시점으로부터 항상 배기 중의 물질 분압을 모니터링하고 있다. 측정부(24)가 모니터링하고 있는 배기 중의 TiCl4 분압 및 NH3 분압의 변화 프로파일을 도 4에 개략적으로 나타낸다.
도 4에 도시한 바와 같이, 챔버(13)내에 TiCl4 가스가 소정 시간(τ1) 공급된 후, 배기 가스중의 TiCl4 분압은 점차 감소한다. 측정부(24)는, 예컨대 배기 가스중의 TiCl4 분압이 소정의 분압(D1)까지 감소된 시점(가스의 공급 정지로부터 2시간 후)에서, 제어 장치(12)에 챔버(13)내의 배기가 완료된 것을 나타내는 신호를 송출한다.
측정부(24)로부터 상기 신호를 받으면, 제어 장치(12)는 밸브(21b, 21d)를 개방하여 NH3 가스 및 Ar 가스의 공급을 개시한다(도 3, 단계 S16). 여기서, NH3 가스 및 Ar 가스는 예컨대 NH3/Ar=1000sccm/100sccm의 유량으로 공급된다. 챔버(13)내로의 가스의 공급은 소정 시간, 예컨대 0.5초간 실행한다. 이 때, NH3 분자는 웨이퍼상에 흡착된 TiCl4 분자와 반응하여 거의 1원자층 정도의 TiN층이 형성된다. 또한, TiN층상에는 NH3 분자가 다층으로 흡착되어 있다.
제어 장치(12)는 그 후 밸브(21b, 21d)를 폐쇄하여, NH3 가스 및 Ar 가스의 공급을 정지한다. 가스 공급의 정지 후, 챔버(13)내는 배기되고, 챔버(13)내의 NH3 가스는 제거된다(단계 S17). 이 때, 배기는 챔버(13)내의 NH3 분압이 충분히 낮아질 때까지 실행되고, 예컨대 배기 가스중의 NH3의 분압이 10-2㎩(0.75×10-4Torr) 미만으로 될 때까지 실행된다. 챔버(13)내의 배기는 TiN층상에 흡착된 거의 1층 정도의 NH3 분자를 남겨 챔버(13)내에서 NH3 분자가 제거되고, NH3가 후에 공급되는 TiCl4와 기상 중에서 반응하지 않는 농도로 되기까지 실행된다(단계 S18).
도 4에 도시한 바와 같이, 챔버(13)내에 NH3 가스가 소정 시간(τ3) 공급된 후, 배기 가스중의 NH3 분압은 점차 감소한다. 측정부(24)는, 예컨대 배기 가스중의 NH3 분압이 기준값 분압(D2)까지 감소한 시점(가스의 공급 정지로부터 τ4 시간 후)에서, 제어 장치(12)로 챔버(13)내의 배기가 완료된 것을 나타내는 신호를 송출한다.
이렇게 하여, 단계(S13)로부터 단계(S18)에 걸친 TiCl4 가스의 공급, 배기, NH3 가스의 공급, 배기로 이루어지는 1 사이클 분량의 공정이 실행된다. 제어 장치(12)는 측정부(24)로부터 상기 신호를 받으면, 도 3의 단계(S13)로 되돌아가, TiCl4 가스 및 Ar 가스의 공급을 실행하여 새로운 사이클을 개시한다.
제어 장치(12)는, 단계(S13)에 있어서, TiCl4 가스를 챔버(13)내에 소정 시간 공급한다. 이로써, TiN층상에 흡착된 NH3 분자와 TiCl4 분자가 반응하여, 거의 1 원자층의 TiN층이 새롭게 형성된다. 또한, 이 TiN층상에는 TiCl4 분자가 다층으로 흡착하고 있다.
계속해서, 제어 장치(12)는, 단계(S14)에 있어서, TiCl4 가스 및 Ar 가스의 공급을 정지하여, 이로써 챔버(13)내의 TiCl4는 배기, 제거된다. 배기는, 도 4에 도시한 바와 같이, TiCl4 분압이 소정 분압(D1)으로 감소할 때까지(가스의 공급 정지로부터 τ2' 시간) 실행된다.
계속해서, 측정부(24)로부터 배기중인 TiCl4 분압이 소정 분압 이하에 이른 것을 나타내는 신호를 받으면(단계 S15), 제어 장치(12)는 NH3 가스 및 Ar 가스의 공급을 소정 시간 실행한다(단계 S16). 이로써, TiN층상에 흡착된 TiCl4 분자와 NH3 분자가 반응하여 새로운 TiN층(3번째 층)이 형성된다. 또한, 이 TiN 층상에는 NH3 분자가 다층으로 흡착되어 있다.
NH3 가스 및 Ar 가스의 공급 정지 후, 제어 장치(12)는 챔버(13)내를 배기하여, NH3를 제거한다(단계 S17). 이 때, 배기는, 도 4에 도시한 바와 같이, TiCl4 분압이 소정의 분압(D2)으로 감소하기까지(가스의 공급 정지로부터 τ4' 시간) 실행된다. 이로써, 2번째 사이클의 공정이 종료한다.
이하, 상기 사이클을 반복함으로써, TiN층이 거의 1원자층마다 형성되어 적층된다. 상기 사이클은 웨이퍼상에 소정 두께의 TiN층이 형성될 때까지 반복된다. 단계(S19)에 있어서, 소정수의 사이클이 반복된 것으로 판별하면, 제어 장치(12)는 챔버(13)내에 Ar 가스를 공급하는 동시에, 챔버(13)내를 소정 압력, 예컨대 챔버(13) 외부의 웨이퍼의 반송 영역과 거의 동일한 압력으로 한다(단계 S20). 그 후, 챔버(13)내로부터 웨이퍼가 반출되어(단계 S21) 처리는 종료한다.
이상 설명한 바와 같이, 제 1 실시예에 의하면, TMP(22)의 배기측에 있어서, 배기 가스로부터 챔버(13)내의 정보(농도 분압)를 취득하고, 취득한 정보에 기초하여 챔버(13)내의 프로세스(ALD)를 제어하고 있다.
TMP(22)의 배기측은 흡기측과 비교하여 상대적으로 압력이 높기 때문에(진공도가 낮기 때문에) 측정 감도가 향상하고, 또한 배관 직경이 비교적 작기 때문에, 측정값의 편차 등은 작게 억제된다. 따라서, TMP(22)의 배기측에서 취득한 정보에 기초하여, 정밀도가 높은 프로세스 제어를 실행함으로써, 막의 품질을 높게 유지할 수 있는 등, 신뢰성이 높은 처리가 가능해진다.
상기 제 1 실시예에서는, FT-IR의 구성을 갖는 측정부(24)를 사용하여, 소정 물질의 배기 가스중의 양(분압)을 구하는 것으로 했다. 그러나, 소정 물질의 양을 측정하는 수단은 FT-IR에 한정하지 않고, 다른 광학적 측정 수단, 농도계, 4중극형 질량 분석계 등의 질량 분석 장치 등의 다른 측정 수단이어도 무방하다. 그러나, 적외 분광 분석 장치로는, 기상 중의 물질이어도 그 적외선 흡수 스펙트럼이 용이하게 얻어지고 효율적인 분석이 가능한 FT-IR가 바람직하다. 또한, 질량 분석 장치로는, 기상 중의 물질을 그 전하 상태(질량 전하비)에 따라 판별하고, 배기 가스중의 물질의 종류 및 양을 효율적이고 또한 용이하게 측정 가능한 4중극형 질량 분 석계가 바람직하다. 여기서, 4중극 질량 분석계는 4개의 전극을 구비하고, 이것들에 양음의 직류 전압과 교류 전압을 소정의 비로 인가하고, 직류 전압(또는 교류 전압)을 선형적으로 변화시킴으로써 얻어지는, 전극 사이를 통과 가능한 질량 전하비(m/z)를 갖는 하전 입자의 강도 스펙트럼으로부터, 소정의 물질의 양 등을 측정하는 장치이다.
상기 제 1 실시예에서는, 측정부(24)가 TiCl4 및 NH3의 농도 분압을 모니터링하고, 소정 분압에 이르렀을 때에 그 정보를 제어 장치(12)로 보내는 것으로 했다. 그러나, 측정부(24)는 제어 장치(12)에 검출된 분압 데이터를 보내고, 제어 장치(12)가 분압을 모니터링하여, 소정 분압에 도달하였는지를 판별하도록 할 수도 있다.
상기 제 1 실시예에서는, 측정부(24)가 처리(막 형성의 원료) 가스인 TiCl4 및 NH3의 농도 분압을 측정한다고 설명했다. 그러나, 챔버내의 상태를 판별하기 위한 소정 물질에 관한 정보로는, 상기 농도 분압에 한정되지 않고, 처리 가스의 해리 상태를 나타내는 소정 물질의 프래그먼트 이온 등의 양 혹은 종류일 수도 있고, 이것들을 측정부(24)에 의해 검출하도록 할 수도 있다.
상기 제 1 실시예에서는, TiCl4와 NH3을 사용하여, 웨이퍼의 표면에 TiN막을 형성하는 것으로 했다. 그러나, 사용하는 물질, 및 성막하는 막의 종류는 이것에 한정되지 않는다. TiN막 이외에, AlO2, ZrO2, TaN, SiO2, SiN, SiON, WN, WSi, RuO2 등, 다른 금속막일 수도 있다. 또한, 이 경우, 사용하는 가스 종류는 TiCl4 대신에, TaBr5, Ta(OC2H5)5, SiCl4, SiH4, Si2H6, SiH2Cl2, WF6 등의 어느 1종을 사용하고, NH3 대신에 N2, O2, O3, NO, N2O, N2O 3, N2O5 등의 어느 1종을 사용할 수 있다.
또한, 웨이퍼상에 소정 두께의 TiN 등의 막이 형성된 후에, 챔버내를 퍼지하기 위해서 사용하는 퍼지 가스는 Ar에 한정되지 않고, 불활성인 가스일 수도 있고, 예컨대 질소, 네온 등을 사용할 수도 있다.
또한, 제 1 실시예에 따른 처리 장치(11)는 소둔 처리(annealing) 등의 다른 처리를 실행하는 처리 장치와, 인라인으로 접속되고, 또는 클러스터(cluster)될 수도 있다.
또한, 1장씩 웨이퍼에 처리를 실시하는 낱장식 처리 장치(11)에 한정하지 않고, 배치(batch)식의 처리 장치에 적용할 수도 있다.
또한, 제 1 실시예에 따른 발명은, ALD에 한정하지 않고, 다른 성막 처리, 산화 처리, 에칭 처리 등, 복수 종류의 가스를 사용하여, 프로세스 분위기를 고속으로 변경할 필요가 있는 모든 처리에 적용할 수 있다.
(제 2 실시예)
제 2 실시예에서는, 반도체 웨이퍼(이하, 웨이퍼) 등의 피처리체의 표면에, 챔버내에서의 플라즈마 처리에 의해 산화 실리콘 등의 실리콘계 막을 성막하는 처리 장치의 드라이 클리닝을 예로서 설명한다. 처리 장치의 드라이 클리닝은 불소계 가스[3불화 질소(NF3)]의 플라즈마를 챔버내로 통과시킴으로써 실행한다.
도 5에, 제 2 실시예에 따른 처리 장치(11)의 구성을 나타낸다. 도 5에 도 시한 바와 같이, 처리 장치(11)는 제어 장치(12)와, 챔버(13)와, 클리닝 가스 공급 라인(50)과, 배기 라인(15)을 구비한다.
제어 장치(12)는 후술하는 성막 처리, 클리닝 처리 등의 처리 장치(11) 전체의 동작을 제어한다. 또한, 이해를 용이하게 하기 위해, 제어 장치(12)의 동작의 상세한 설명에 대해서는 생략한다.
챔버(13)는 흡인 가능하게 구성되고, 그 내부에 피처리체인 웨이퍼가 수용된다. 챔버(13)는 고주파 전원 등을 구비하는 도시하지 않은 플라즈마 발생 기구를 구비하고, 내부에 플라즈마를 발생 가능하게 구성되어 있다. 플라즈마 발생 기구에 의해 챔버(13)의 내부에 있어서 웨이퍼 표면에 플라즈마 처리가 실시되고, 산화 실리콘 등의 실리콘계 막이 형성된다.
클리닝 가스 공급 라인(50)은 클리닝 가스로서의 NF3 가스를 공급하는 NF3원(51)과, 희석 가스로서의 Ar 가스를 공급하는 Ar원(52)을 구비한다. 또한, 클리닝 가스 공급 라인(50)은 그 내부를 통과하는 가스를 활성화하는 액티베이터(53)가 설치되어 있다. NF3원(51) 및 Ar원(52)은 밸브(54a, 54b) 및 MFC(55a, 55b)를 거쳐 액티베이터(53)에 접속되어 있다.
액티베이터(53)는 도시하지 않은 플라즈마 발생 기구를 구비하고, 내부를 통과하는 가스의, 예를 들어, ECR(전자 시이클로트론 공명: Electron Cyclotron Resonance) 플라즈마, 유도 결합형 플라즈마(Inductive Coupled Plasma: ICP) 등의 고밀도 플라즈마를 발생시킨다. 액티베이터(53)는 그 내부를 통과하는 클리닝 가스(NF3)를 플라즈마 상태로 하여, 발생된 불소 라디칼을 선택적으로 배기한다.
상기 구성에 의해, 클리닝시에는, 클리닝 가스 공급 라인(50)으로부터 불소 라디칼을 주성분으로 하는 클리닝 가스가 챔버(13)내에 공급된다. 불소는 실리콘과 결합성이 높고, 챔버(13)내에 부착 및 퇴적된 실리콘계 막은 클리닝 가스에 의해 고속으로 또한 효과적으로 제거(에칭)된다.
배기 라인(15)은 터보 분자 펌프(TMP)(22)와, 드라이 펌프(DP)(23)와, 측정부(56)를 구비한다. 배기 라인(15)은 챔버(13)에 접속되고, 배기 라인(15)을 거쳐 챔버(13)는 배기되어, 소정의 압력 상태까지 감압된다.
TMP(22)는 챔버(13)와 제 1 배기관(25)을 거쳐 접속되어 있다. 제 1 배기관(25)에는, 가변 유량 밸브(26)와, 밸브과, 챔버(13)측으로부터 순차적으로 설치되어 있다. TMP(22)는 챔버(13)내를 소정의 진공 상태로 감압한다. 또한, 가변 유량 밸브(26)는 챔버(13)내를 소정의 진공 상태로 유지한다. 제 1 배기관(25)은 TMP(22)의 배기 속도, 길이 등으로부터, 예컨대 50㎜ 정도의 내경으로 되어 있다.
드라이 펌프(23)는 TMP(22)의 배기측에 제 2 배기관(28)에 의해 접속되어 있다. TMP(22)와 드라이 펌프(23) 사이에는 밸브가 설치되어 있다. 드라이 펌프(23)는 거친 펌프로서 기능하고, 챔버(13)내를 TMP(22)가 작동 가능한 압력으로 한다. 드라이 펌프(23)의 배기측은, 도시하지 않은 제해 장치에 접속되어 있고, 배기 라인(15)을 통과한 배기 가스는 무해화되어 대기로 방출된다.
제 2 배기관(28)은 드라이 펌프(23)의 배기 속도, 길이 등으로부터, 예컨대 40㎜ 정도의 내경으로 되어 있다. 여기서, 드라이 펌프(23)는 TMP(22)보다도 배기 용량이 작고, 따라서 제 2 배기관(28)은 제 1 배기관(25)보다도 작은 직경으로 된다.
측정부(56)는 TMP(22)의 배기측에 접속된 제 2 배기관(28)에 장착되어 있다. 측정부(56)는 처리 중, 제 2 배기관(28)내를 흐르는 가스중의 입자량을 측정하고 있다. 입자는 챔버(13)내에 부착 및 퇴적된 막이 어느 정도 커져 박리하는 등에 의해 발생하고, 수율 저하의 원인이 된다. 따라서, 배기 가스중의 입자량을 모니터링함으로써, 챔버(13)의 오염 상태를 알 수 있다.
배기 가스를 모니터링하고 있는 측정부(56)는 입자량이 소정량에 도달하면, 제어 장치(12)에 그 정보를 나타내는 신호를 송출한다. 제어 장치(12)는 이 신호에 기초하여, 성막 처리를 일단 종료하고, 클리닝 처리를 개시한다. 또한, 측정부(56)는 밸브의 급기측과 배기측 중 어느 쪽에 설치되어 있을 수도 있다.
측정부(56)의 구성을 도 6에 나타낸다. 도 6에 도시한 바와 같이, 측정부(56)는 광원(57)과, 광 스토퍼(58)와, 광 센서(59)와, 연산부(60)로 구성된다.
광원(57)은 레이저 다이오드 등으로 구성되고, 레이저광을 출사한다. 광원(57)은 제 2 배기관(28)의 외벽 근방에 배치되어 있다. 제 2 배기관(28)에는 석영 혹은 수정으로 구성되는 창문부(28a)가 설치되어 있다. 광원(57)으로부터 출사된 레이저광은 창문부(28a)를 거쳐 제 2 배기관(28)의 내부에 조사된다. 광원(57)은 제 2 배기관(28)의 거의 직경상을 통과하도록 레이저광을 조사한다. 또한, 레이저광은 관내에 흐르는 가스중의 입자량을 정량적으로 관측 가능하면, 직경상 이외에도, 관내를 통과하는 어떤 구성이어도 무방하다.
광 스토퍼(58)는 제 2 배기관(28)의 내벽의 레이저광의 광로상에 배치되어 있다. 광 스토퍼(58)는 레이저광을 흡수하여 반사를 방지하는 부재, 예컨대 무반사 코팅을 실시한 사파이어판으로 구성되어 있다. 또한, 광 스토퍼(58)를 제 2 배기관(28)의 외벽 근방에 설치하여, 상술한 석영 등과 같이 레이저광이 통과가능한 투과창을 거쳐 레이저광을 흡수하도록 할 수도 있다.
광 센서(59)는 포토 다이오드 등의 수광 소자로 구성되어 있다. 광 센서(59)는 제 2 배기관(28)의 외벽 근방에 설치되어 있다. 광 센서(59) 근방의 제 2 배기관(28)의 관벽에는, 석영 혹은 수정으로 구성되는 창문부(28b)가 설치되어 있다. 창문부(28b)는 제 2 배기관(28)의 길이 방향을 법선으로 하는 대략 동일 평면상에, 창문부(28a)와 대략 90°의 각도를 이루도록 형성되어 있다.
광 센서(59)는 제 2 배기관(28)의 내부를 통과하는 배기 가스중의 입자에 의해 산란되는 광을 수광한다. 광 센서(59)는 연산부(60)에 접속되어, 연산부(60)에 전기 펄스를 출력한다. 이로써, 연산부(60)는 광 센서(59)가 수광한 광의 양에 관한 정보를 취득한다.
연산부(60)는 광 센서(59)가 수광한 광의 양으로부터 입자량을 산출한다. 제어 장치(12)에 접속된 연산부(60)는 산출된 입자량이 소정량에 도달하면, 제어 장치(12)에 그 정보를 나타내는 신호를 보낸다. 제어 장치(12)는 받은 신호에 기초하여, 성막 처리를 종료시킨 후에 클리닝 처리를 개시한다.
여기서, 상술한 바와 같이, 측정부(56)는 TMP(22)의 배기측에 설치되어 있다. TMP(22)의 배기측[제 2 배기관(28)]은 급기측[제 1 배기관(25)]과 비교하여 고압이고(진공도가 낮음), 이 때문에 관내를 통과하는 기체 중의 입자 밀도는 상대적으로 커져, 높은 검출 감도가 얻어진다.
또한, 관 직경이 비교적 작기 때문에, 입자의 관내에서의 분포의 편차는 비교적 작다. 따라서, 레이저광의 광로상에 있는 입자의 분포는 비교적 균일하며, 편차 등이 적은 신뢰성이 높은 입자량의 검출이 가능해진다.
이하, 도 5에 도시한 제 2 실시예의 처리 장치(11)의 동작에 대하여, 도 7을 참조하여 설명한다. 또한, 이하에 나타내는 동작은 일례이며, 동일한 결과가 얻어지는 것이면, 어떤 구성이어도 무방하다.
처리 장치(11)는 챔버(13)내에서 웨이퍼에 1매씩 플라즈마 처리를 실시하여, 웨이퍼의 표면에 실리콘계 막(산화 실리콘막)을 성막한다. 처리 장치(11)는 다수매의 웨이퍼에 연속적으로 성막 처리를 실시한다. 처리 장치(11)가 작동하고 있는 동안, 측정부(56)는 배기 가스중의 입자량을 모니터링하고 있다.
연속적인 성막 처리에 수반하여, 챔버(13)내에 발생하는 입자의 양은 점차 증가하게 된다. 배기 가스중의 입자량이 도 7에 도시한 바와 같이 소정량(P1)에 도달하면, 측정부(56)는 제어 장치(12)에 그 정보를 나타내는 신호를 보낸다.
제어 장치(12)는 이 신호를 받으면, 이 시점에서 처리를 실시하고 있는 웨이퍼를 최후로 하여, 성막 처리를 일단 종료한다. 최후의 웨이퍼를 챔버(13)내로부 터 반출한 후, 제어 장치(12)는 클리닝 처리를 개시한다. 또한, 신호 수신 후, 소정 매수의 웨이퍼, 또는 이 웨이퍼가 포함되는 로트 모든 웨이퍼의 처리가 종료한 후에, 클리닝 처리를 개시하도록 할 수도 있다.
클리닝 처리의 개시 후, 우선 제어 장치(12)는 챔버(13)내에 더미 웨이퍼를 반입한다. 이어서, 챔버(13)내를 소정의 진공도, 예컨대 102㎩(0.75Torr)까지 감압하여, 클리닝 가스 공급 라인(50)으로부터의 클리닝 가스의 챔버(13)로의 공급을 개시한다. 클리닝 가스는 예컨대 NF3/Ar=500sccm/1000sccm으로 공급된다.
클리닝 가스의 공급에 의해, 입자의 원인이 되는 챔버(13)내에 부착 및 퇴적된 실리콘계 막 등은 4불화 실란 등으로 분해되어 제거된다. 따라서, 도 7에 도시한 바와 같이, 챔버(13)로부터의 배기 가스중에 포함되는 입자량은 점차 감소된다.
측정부(56)는 입자량이 소정량(P2)까지 감소하면, 클리닝이 완료한 것을 나타내는 신호를 제어 장치(12)에 보낸다. 제어 장치(12)는 이 신호를 받으면, 클리닝 가스의 공급을 정지한다. 챔버(13)내로부터 클리닝 가스가 배출되는 데에 충분한 시간이 경과한 후, 더미 웨이퍼를 챔버(13)로부터 반출한다. 이상으로 클리닝 처리를 종료하고, 제어 장치(12)는 다시 성막 처리를 개시한다.
이상 설명한 바와 같이, 제 2 실시예에 의하면, TMP(22)의 배기측에 있어서, 배기 가스로부터 챔버(13)내의 정보(입자량)를 취득하고, 취득한 정보에 기초하여 챔버(13)내 프로세스(클리닝)를 제어하고 있다. TMP(22)의 배기측은 배관 직경이 비교적 작기 때문에, 측정값의 편차 등은 피할 수 있다. 따라서, 신뢰성이 높은 정보에 기초한, 정밀도가 높은 프로세스 제어가 실행되고, 과도한 클리닝을 방지할 수 있으며, 또한 클리닝 시간의 단축이 가능해진다.
상기 제 2 실시예에서는, 제 2 배기관(28)에 직접 측정부(56)를 설치하는 것으로 했다. 그러나, 제 2 배기관(28)에 바이패스관을 설치하여, 바이패스관의 중간에 측정부(56)를 설치하는 구성으로 할 수도 있다.
상기 제 2 실시예에서는, 입자량에 기초하여 클리닝 처리를 제어하는 구성으로 했다. 그러나, 챔버내의 오염 상태를 판별하기 위한 정보는, 배기 가스중의 입자량에 한정되지 않고, 챔버(13)내에 발생하는 금속 오염 등의 다른 오염 물질에 관한 정보일 수도 있고, 이러한 정보에 기초하여 클리닝을 개시하도록 할 수도 있다. 여기서, 금속 오염을 분석하는 장치로는, 기상 중의 금속 원소를 효율적으로 측정 가능한 상술한 4중극형 질량 분석계가 바람직하다.
또한, 도 8에 도시한 바와 같이, 또한 질량 분석기, FT-IR 등을 설치하고, 클리닝시에 퇴적막이 분해하여 발생하는 클리닝 부생성물 가스(예컨대, 4불화 실란, 산소 등)의 양을 모니터링하는 구성이어도 무방하다.
도 8에 도시한 구성에서는, 입자량을 측정하는 측정부(56)의 배기측에, 클리닝 부생성물의 양을 측정하는 4중극형 질량 분석계 등의 질량 분석기(61)가 배치되어 있다. 또한, 질량 분석기(61)는 측정부(56)의 급기측에 배치할 수도 있다.
도 8에 도시한 구성에서는, 입자량이 소정량 이상이 된 후에 클리닝이 개시된다. 클리닝시, 배기 중의 클리닝 부생성물의 양은 질량 분석기(61)에 의해 모니 터링되어 있다.
도 9에, 클리닝 가스 부생성물[예컨대, 4불화 실란(SiF4)]의 변화 프로파일을 개략적으로 나타낸다. 도 9에 도시한 바와 같이, 배기 중의 SiF4의 양은 클리닝의 진행과 함께 SiF4는 증대하여, 결국 감소로 전환된다. 제어 장치(12)는 SiF4의 양이 소정량까지 감소한 시점에서, 클리닝 가스의 공급을 정지한다.
상기 제 2 실시예에서는, 측정부(56)가 입자량을 모니터링하여, 소정량에 도달하였을 때에 그 정보를 제어 장치(12)에 보내는 것으로 했다. 그러나, 측정부(56)는 제어 장치(12)에 검출한 입자량 데이터를 보내고, 제어 장치(12)가 입자량을 모니터링하여, 소정량에 도달하였는지를 판별하도록 할 수도 있다.
또한, 1매씩 웨이퍼에 처리를 실시하는 낱장식 처리 장치에 한정하지 않고, 배치식의 처리 장치에 적용할 수도 있다.
상기 제 2 실시예에서는, 실리콘계 막, 특히 불화 산화 실리콘막을 성막하는 경우를 예로서 설명했다. 그러나, 성막하는 막의 종류는 실리콘 산화막 등의 다른 실리콘계 막, 또는 다른 어떠한 종류의 막이어도 무방하다.
상기 제 2 실시예에서는, 클리닝 가스로서, 불소계 가스, 특히 NF3을 사용하는 것으로 했다. 그러나, 클리닝에 사용하는 가스는 이에 한정되지 않는다. 예컨대, NF3의 대신에 F2, SF6, CF4, C2F6 등의 불소계 가스, 또는 Cl2, BCl4 등의 염소계 가스를 사용할 수 있다. 또한, Ar으로 희석하는 대신에, 다른 불활성 가스, 예컨 대 질소, 네온 등으로 희석할 수도 있다.
상기 제 2 실시예에서는, 클리닝 가스의 플라즈마를 챔버(13)내에 도입하는 것으로 했다. 그러나, 클리닝 가스인 NF3를 챔버(13)내에 공급하여, 챔버(13)내에서 플라즈마를 발생시키는 구성으로 할 수도 있다.
또한, 제 2 실시예에 따른 장치는 플라즈마 처리 장치에 한정하지 않고, 에칭 장치, 스퍼터링 장치, 열처리 장치 등의 다른 장치에도 적용 가능하다.
본 발명의 정신 및 범위를 일탈하지 않고, 당업자에 의해 상기 실시예에 각종 개량 등이 가해질 것이다. 상기 실시예는 도해 목적이며, 본 발명의 범위를 한정하는 것이 아니다. 따라서, 본 발명의 범위는 상기 기재를 참조하는 것은 아니고, 하기 클레임이 권리를 부여받는 균등한 전범위에 따라 결정될 것이다.
상기 제 1 및 제 2 실시예에 있어서는, 제 1 배기 수단인 TMP(22)의 배기측에 있어서, 배기 가스로부터 챔버(13)내의 정보를 취득하고, 취득한 정보에 기초하여 챔버(13)내의 프로세스(ALD 또는 클리닝)를 제어하고 있다. 제 1 배기 수단의 배기측은, 비교적 압력이 높기 때문에(진공도가 낮음) 측정 감도가 향상되고, 또한 배관 직경이 비교적 작기 때문에, 측정값의 편차가 작게 억제된다. 따라서, 취득한 정보에 기초하여, 고정밀도의 프로세스 제어에 의해, 신뢰성이 높은 처리가 가능해진다.
또한, 제 1 실시예에 따른 처리 장치 및 처리 방법은 ALD에 한정하지 않고, 다른 성막 처리, 산화 처리, 에칭 처리 등, 복수종의 가스를 사용하여, 프로세스 분위기를 고속으로 변경할 필요가 있는 임의의 처리에 적용할 수 있다.
또한, 제 2 실시예에 따른 처리 장치 및 처리 방법은 플라즈마 처리 장치를 사용한 클리닝 처리에 한정하지 않고, 에칭 장치, 스퍼터링 장치, 열처리 장치 등의 다른 장치 및 다른 처리에도 적용 가능하다.
또한, 본 발명은 반도체 웨이퍼에 한정하지 않고, 액정 표시 장치용의 기판에도 적용할 수 있다.
이상 설명한 바와 같이, 본 발명에 의하면, 챔버의 배기 가스로부터 소정의 정보를 취득하고, 취득한 정보에 기초하여, 정밀도가 높은 프로세스 제어를 실행할 수 있는 처리 장치 및 처리 방법이 제공된다.

Claims (18)

  1. 처리 장치(11)에 있어서,
    챔버(13)를 구비하고, 상기 챔버(13)내에서 피처리체에 소정의 처리를 실시하는 처리 수단(14, 50)과,
    상기 챔버(13)에 접속되어, 상기 챔버(13)내를 소정의 진공 압력까지 배기하는 제 1 배기 수단(22)과,
    상기 제 1 배기 수단(22)에 접속되어, 상기 제 1 배기 수단(22)이 동작 가능한 압력까지 상기 챔버(13)내를 배기하는 제 2 배기 수단(23)과,
    상기 제 1 배기 수단(22)과 상기 제 2 배기 수단(23) 사이에 배치되어, 상기 챔버(13)로부터 배기되는 배기 가스중의 소정 물질에 관한 정보를 취득하는 정보 취득 수단(24, 56, 61)과,
    상기 정보 취득 수단(24, 56, 61)에서 취득되는 상기 정보에 기초하여 상기 챔버(13)내의 상태를 판별하여 상기 처리 수단을 제어하는 제어 수단(12)을 포함하는 것을 특징으로 하는
    처리 장치.
  2. 처리 장치에 있어서,
    챔버(13)를 구비하고, 상기 챔버(13)내에서 피처리체에 소정의 처리를 실시하는 처리부(14, 50)와,
    상기 챔버(13)에 제 1 배기관(25)을 거쳐 접속되어, 상기 챔버(13)내를 소정의 진공 압력까지 배기하는 제 1 배기부(22)와,
    상기 제 1 배기부(22)의 배기측에, 상기 제 1 배기관(25)보다도 작은 직경의 제 2 배기관(28)을 거쳐 접속되어, 상기 제 1 배기부(22)가 동작 가능한 압력까지 상기 챔버(13)내를 배기하는 제 2 배기부(23)와,
    상기 챔버(13)로부터 배기되어, 상기 제 2 배기관(28)을 흐르는 배기 가스중의 소정 물질에 관한 정보를 취득하는 정보 취득부(24, 56, 61)와,
    상기 정보 취득부(24, 56, 61)에서 취득되는 상기 정보에 기초하여 상기 챔버(13)내의 상태를 판별하여 상기 처리부(14, 50)를 제어하는 제어부(12)를 포함하는 것을 특징으로 하는
    처리 장치.
  3. 제 2 항에 있어서,
    상기 제 2 배기관(28)으로부터 분기되고, 상기 제 2 배기관(28)을 흐르는 상기 배기 가스를 바이패스하는 측정관(30)을 더 구비하며, 상기 정보 취득부(24, 56, 61)는 상기 측정관(30)을 흐르는 상기 배기 가스로부터 상기 정보를 취득하는 것을 특징으로 하는
    처리 장치.
  4. 제 2 항에 있어서,
    상기 정보 취득부(24, 56, 61)는 상기 소정 물질의 농도를 측정하는 적외 분광 분석 장치(24) 또는 질량 분석 장치(61)를 구비하고, 상기 제어부(12)는 상기 정보 취득부(24, 56, 61)가 측정한 상기 소정 물질의 농도에 기초하여 상기 처리부(14, 50)를 제어하는 것을 특징으로 하는
    처리 장치.
  5. 제 2 항에 있어서,
    상기 정보 취득부(24, 56, 61)는 상기 배기 가스중의 프래그먼트 물질의 분포를 측정하는 적외 분광 분석 장치(24)를 구비하고, 상기 제어부(12)는 상기 정보 취득부(24, 56, 61)의 측정된 상기 프래그먼트 물질의 분포에 기초하여 상기 처리부(14, 50)를 제어하는 것을 특징으로 하는
    처리 장치.
  6. 처리 장치에 있어서,
    챔버(13)와,
    상기 챔버(13)에 접속되고, 상기 챔버(13)내에 복수의 처리 가스중 하나의 처리 가스를 소정 시간 공급하는 가스 공급 수단(14, 50)과,
    상기 챔버(13)에 접속되어, 상기 챔버내(13)를 소정의 진공 압력까지 배기하는 제 1 배기 수단(22)과,
    상기 제 1 배기 수단(22)에 접속되어, 상기 제 1 배기 수단(22)이 동작 가능 한 압력까지 상기 챔버(13)내를 배기하는 제 2 배기 수단(23)과,
    상기 제 1 배기 수단(22)과 상기 제 2 배기 수단(23) 사이에 배치되어, 상기 챔버(13)로부터 배기되는 배기 가스중의 상기 처리 가스의 양을 측정하는 측정 수단(24, 56, 61)과,
    상기 측정 수단(24, 56, 61)으로 측정되는 상기 처리 가스의 양에 기초하여, 상기 가스 공급 수단(14, 50)에 의한 다른 처리 가스의 공급을 제어하는 제어 수단(12)을 구비하는 것을 특징으로 하는
    처리 장치.
  7. 제 6 항에 있어서,
    상기 제어 수단(12)은, 상기 배기 가스중의 상기 처리 가스의 양이 소정량까지 감소했을 때에, 상기 가스 공급 수단(14, 50)에 의한 상기 챔버(13)내로의 다른 처리 가스의 공급을 개시하는 것을 특징으로 하는
    처리 장치.
  8. 처리 장치에 있어서,
    내부에서 피처리체에 소정의 처리를 실행하는 챔버(13)와,
    상기 챔버(13)내를 청정화하기 위한 클리닝 가스를 공급하여 상기 챔버내를 클리닝하는 클리닝 수단(50)과,
    상기 챔버(13)에 접속되어, 상기 챔버(13)내를 소정의 진공 압력까지 배기하 는 제 1 배기 수단(22)과,
    상기 제 1 배기 수단(22)에 접속되어, 상기 제 1 배기 수단(22)이 동작 가능한 압력까지 상기 챔버(13)내를 배기하는 제 2 배기 수단(23)과,
    상기 제 1 배기 수단(22)과 상기 제 2 배기 수단(23) 사이에 배치되어, 상기 챔버(13)로부터 배기되는 배기 가스중의 오염 물질에 관한 정보를 취득하는 정보 취득 수단(24, 56, 61)과,
    상기 정보 취득 수단(24, 56, 61)에서 취득되는 상기 정보에 기초하여, 상기 챔버(13)내의 오염 상태를 판별하여 상기 클리닝 수단(50)을 제어하는 제어 수단(12)을 구비하는 것을 특징으로 하는
    처리 장치.
  9. 제 8 항에 있어서,
    상기 오염 물질은 입자이고, 상기 제어 수단(12)은, 상기 배기 가스중의 상기 입자량이 소정량 이상으로 되었을 때에, 상기 챔버내(13)를 클리닝하는 것을 특징으로 하는
    처리 장치.
  10. 제 9 항에 있어서,
    상기 정보 취득 수단(24, 56, 61)은 상기 배기 가스중의 입자량을 측정하는 광학식 카운터(56)를 구비하는 것을 특징으로 하는
    처리 장치.
  11. 제 8 항 또는 제 9 항에 있어서,
    상기 정보 취득 수단(24, 56, 61)은 상기 배기 가스중의 상기 클리닝에 의해 발생한 부생성물의 양을 측정하는 부생성물 측정 수단(24,61)을 더 구비하고,
    상기 제어 수단(12)은 상기 부생성물 측정 수단(24, 61)이 측정한 상기 부생성물의 양에 기초하여, 상기 클리닝 수단(50)을 제어하는 것을 특징으로 하는
    처리 장치.
  12. 제 8 항에 있어서,
    상기 정보 취득 수단(24, 56, 61)은 상기 배기 가스중의 금속 원소의 종류 및 양을 측정하는 질량 분석 장치(61)를 구비하고, 상기 제어 수단(12)은 상기 정보 취득 수단(24, 56, 61)이 측정한 금속 원소의 종류 및 양에 기초하여, 상기 클리닝 수단(50)을 제어하는 것을 특징으로 하는
    처리 장치.
  13. 내부에 피처리체에 수용하는 챔버에서 소정의 처리를 실행하는 처리 공정과,
    상기 챔버에 접속되는 메인 배기부에 의해, 상기 챔버내를 소정의 진공 압력까지 배기하는 제 1 배기 공정과,
    상기 메인 배기부에 접속되는 서브 배기부에 의해, 상기 챔버내를 상기 제 1 배기 공정에 의해 배기 가능한 압력까지 배기하는 제 2 배기 공정을 구비하는 처리 방법에 있어서,
    상기 제 1 배기 공정에 의해 상기 챔버로부터 배기되어 상기 메인 배기부와 상기 서브 배기부 사이를 흐르는 배기 가스중의 소정 물질에 관한 정보를 취득하는 정보 취득 공정과,
    상기 정보 취득 공정에서 취득한 상기 정보에 기초하여, 상기 챔버내의 상태를 판별하여 상기 처리를 제어하는 제어 공정을 구비하는 것을 특징으로 하는
    처리 방법.
  14. 처리 방법에 있어서,
    내부에 피처리체에 수용하는 챔버에서 소정의 처리를 실행하는 처리 공정과,
    상기 챔버에 제 1 배기관을 거쳐 접속되는 메인 배기부에 의해, 상기 챔버내를 소정의 진공 압력까지 배기하는 제 1 배기 공정을 구비하는 처리 방법에 있어서,
    상기 메인 배기부에 상기 제 1 배기관보다도 작은 직경의 제 2 배기관을 거쳐 접속되는 서브 배기부에 의해, 상기 챔버내를 상기 제 1 배기 공정에 의해 배기 가능한 압력까지 배기하는 제 2 배기 공정과,
    상기 제 1 배기 공정에 의해 상기 챔버로부터 배기되어, 상기 제 2 배기관을 흐르는 배기 가스중의 소정 물질에 관한 정보를 취득하는 정보 취득 공정과,
    상기 정보 취득 공정에서 취득한 상기 정보에 기초하여, 상기 챔버내의 상태 를 판별하여 상기 처리를 제어하는 제어 공정을 구비하는 것을 특징으로 하는
    처리 방법.
  15. 제 14 항에 있어서,
    상기 제 2 배기 공정에 있어서, 상기 제 2 배기관과 상기 제 2 배기관을 흐르는 가스를 바이패스하기 위한 측정관을 거쳐 상기 메인 배기부에 접속되는 서브 배기부에 의해 상기 챔버내를 배기하고,
    상기 정보 취득 공정에 있어서, 상기 측정관을 흐르는 상기 배기 가스로부터 상기 정보를 취득하는 것을 특징으로 하는
    처리 방법.
  16. 제 14 항에 있어서,
    상기 정보 취득 공정에 있어서, 적외 분광 분석 장치 또는 질량 분석 장치에 의해 상기 소정 물질의 농도를 측정하고, 상기 제어 공정에 있어서, 상기 정보 취득 공정에서 측정된 상기 농도에 기초하여 상기 처리를 제어하는 것을 특징으로 하는
    처리 방법.
  17. 처리 방법에 있어서,
    내부에 피처리체에 수용하는 챔버내에 복수의 처리 가스중 하나의 처리 가스 를 소정 시간 공급하는 가스 공급 공정과,
    상기 챔버에 접속되는 메인 배기부에 의해, 상기 챔버내를 소정의 진공 압력까지 배기하는 제 1 배기 공정과,
    상기 메인 배기부에 접속되는 서브 배기부에 의해, 상기 챔버내를 상기 제 1 배기 공정에 의해 배기 가능한 압력까지 배기하는 제 2 배기 공정과,
    상기 제 1 배기 공정에 의해 상기 챔버로부터 배기되어 상기 메인 배기부와 상기 서브 배기부 사이를 흐르는 배기 가스중의 상기 처리 가스의 양을 측정하는 측정 공정과,
    상기 측정 공정에서 측정한 상기 처리 가스의 양에 기초하여, 상기 가스 공급 공정에 있어서의 다른 처리 가스의 공급을 제어하는 제어 공정을 구비하는 것을 특징으로 하는
    처리 방법.
  18. 처리 방법에 있어서,
    내부에 피처리체에 수용하는 챔버에서 소정의 처리를 실행하는 처리 공정과,
    상기 챔버내를 청정화하기 위한 클리닝 가스를 공급하여 상기 챔버내를 클리닝하는 클리닝 공정과,
    상기 챔버에 접속되는 메인 배기부에 의해, 상기 챔버내를 소정의 진공 압력까지 배기하는 제 1 배기 공정과,
    상기 메인 배기부에 접속되는 서브 배기부에 의해, 상기 챔버내를 상기 제 1 배기 공정에 의해 배기 가능한 압력까지 배기하는 제 2 배기 공정과,
    상기 제 1 배기 공정에 의해 상기 챔버로부터 배기되어 상기 메인 배기부와 상기 서브 배기부 사이를 흐르는 배기 가스중의 오염 물질에 관한 정보를 취득하는 정보 취득 공정과,
    상기 정보 취득 공정에서 취득한 상기 정보에 기초하여, 상기 챔버내의 오염 상태를 판별하여 상기 클리닝 공정에 있어서의 상기 챔버내의 클리닝을 제어하는 제어 공정을 구비하는 것을 특징으로 하는
    처리 방법.
KR1020037016578A 2002-01-17 2003-01-17 처리 장치 및 처리 방법 KR100602926B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002008465A JP3891848B2 (ja) 2002-01-17 2002-01-17 処理装置および処理方法
JPJP-P-2002-00008465 2002-01-17
PCT/JP2003/000363 WO2003060969A1 (fr) 2002-01-17 2003-01-17 Dispositif de traitement et procede de traitement

Publications (2)

Publication Number Publication Date
KR20040007738A KR20040007738A (ko) 2004-01-24
KR100602926B1 true KR100602926B1 (ko) 2006-07-20

Family

ID=19191425

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037016578A KR100602926B1 (ko) 2002-01-17 2003-01-17 처리 장치 및 처리 방법

Country Status (8)

Country Link
US (1) US20050145333A1 (ko)
EP (1) EP1475825A4 (ko)
JP (1) JP3891848B2 (ko)
KR (1) KR100602926B1 (ko)
CN (1) CN1269191C (ko)
AU (1) AU2003235587A1 (ko)
TW (1) TWI253109B (ko)
WO (1) WO2003060969A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170026819A (ko) * 2015-08-28 2017-03-09 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003215002A (ja) * 2002-01-17 2003-07-30 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
CN101082560A (zh) * 2004-03-29 2007-12-05 东京毅力科创株式会社 真空装置、其颗粒监控方法、程序以及颗粒监控用窗口部件
US7464581B2 (en) 2004-03-29 2008-12-16 Tokyo Electron Limited Vacuum apparatus including a particle monitoring unit, particle monitoring method and program, and window member for use in the particle monitoring
JP4486489B2 (ja) * 2004-12-22 2010-06-23 東京エレクトロン株式会社 処理方法及び処理装置
JP2006222136A (ja) * 2005-02-08 2006-08-24 Tokyo Electron Ltd 容量素子の製造方法及び半導体装置の製造方法並びに半導体製造装置
JP4803578B2 (ja) * 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
JP4943047B2 (ja) 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
US7833358B2 (en) * 2006-04-07 2010-11-16 Applied Materials, Inc. Method of recovering valuable material from exhaust gas stream of a reaction chamber
KR101346081B1 (ko) * 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
WO2008012665A1 (en) * 2006-07-27 2008-01-31 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of cleaning film forming apparatus and film forming apparatus
JP4849626B2 (ja) * 2007-01-16 2012-01-11 東京エレクトロン株式会社 パーティクルモニタシステム及び基板処理装置
JP5208128B2 (ja) * 2007-12-04 2013-06-12 フルテック株式会社 加圧ガスパルス制御処理方法及び加圧ガスパルス制御処理装置
JP5101438B2 (ja) * 2008-08-28 2012-12-19 株式会社日立ハイテクノロジーズ パーティクルモニタ及びそれを備えた基板処理装置
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101794069B1 (ko) * 2010-05-26 2017-12-04 삼성전자주식회사 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법
JP5722008B2 (ja) * 2010-11-24 2015-05-20 株式会社日立国際電気 半導体デバイスの製造方法、半導体デバイス及び基板処理装置
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
KR20140137172A (ko) * 2013-05-22 2014-12-02 최대규 자기 관리 기능을 갖는 원격 플라즈마 시스템 및 이의 자기 관리 방법
US10443127B2 (en) * 2013-11-05 2019-10-15 Taiwan Semiconductor Manufacturing Company Limited System and method for supplying a precursor for an atomic layer deposition (ALD) process
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
JP6396670B2 (ja) * 2014-04-15 2018-09-26 東京エレクトロン株式会社 成膜装置ならびに排気装置および排気方法
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR101712483B1 (ko) * 2015-02-27 2017-03-07 주식회사 에스에프에이 파티클 포집모듈 및 그를 구비하는 레이저 식각장치
US20170084426A1 (en) * 2015-09-23 2017-03-23 Lam Research Corporation Apparatus for determining process rate
US9735069B2 (en) * 2015-09-23 2017-08-15 Lam Research Corporation Method and apparatus for determining process rate
JP6839206B2 (ja) * 2016-04-12 2021-03-03 ピコサン オーワイPicosun Oy 金属ウィスカの軽減のためのaldによる被覆
JP6479713B2 (ja) * 2016-07-11 2019-03-06 株式会社Kokusai Electric 半導体装置の製造方法、プログラムおよび基板処理装置
TWI608119B (zh) * 2016-11-16 2017-12-11 矽碁科技股份有限公司 原子層沉積設備及其抽氣速率控制方法
JP6752447B2 (ja) * 2016-12-21 2020-09-09 日本電気硝子株式会社 ガラス基板の製造装置及び製造方法
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10784174B2 (en) 2017-10-13 2020-09-22 Lam Research Corporation Method and apparatus for determining etch process parameters
JP7161603B2 (ja) * 2019-03-15 2022-10-26 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラムおよび基板処理装置
US11761083B2 (en) * 2019-09-19 2023-09-19 Applied Materials, Inc. Methods for controlling a flow pulse shape
TWI755979B (zh) * 2019-12-20 2022-02-21 台灣積體電路製造股份有限公司 薄膜沉積系統以及沉積薄膜方法
US11817297B2 (en) 2020-03-06 2023-11-14 Applied Materials, Inc. System and method for managing substrate outgassing
JP2021048405A (ja) * 2020-11-30 2021-03-25 ピコサン オーワイPicosun Oy 基板の保護

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004077024A1 (ja) * 1991-12-03 2004-09-10 Yasushi Hinaga 脱離ガスの検出装置および方法
JPH0613325A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Tohoku Ltd 熱処理装置および処理容器のクリーニング方法
JP2000161215A (ja) * 1998-12-01 2000-06-13 Sony Corp 真空排気システムを備えた処理チャンバ
JP4320924B2 (ja) * 1999-06-15 2009-08-26 東京エレクトロン株式会社 パーティクル計測装置及び処理装置
JP2001023969A (ja) * 1999-07-13 2001-01-26 Matsushita Electronics Industry Corp 排ガスモニタを備えたプラズマ装置およびその動作方法
JP4387573B2 (ja) * 1999-10-26 2009-12-16 東京エレクトロン株式会社 プロセス排気ガスモニタ装置及び方法、半導体製造装置、及び半導体製造装置管理システム及び方法
JP3735227B2 (ja) * 2000-01-24 2006-01-18 株式会社堀場製作所 半導体製造プロセスラインのガス流量計
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6843881B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Detecting chemiluminescent radiation in the cleaning of a substrate processing chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170026819A (ko) * 2015-08-28 2017-03-09 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102323319B1 (ko) 2015-08-28 2021-11-09 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
EP1475825A1 (en) 2004-11-10
EP1475825A4 (en) 2007-03-14
TWI253109B (en) 2006-04-11
CN1269191C (zh) 2006-08-09
WO2003060969A1 (fr) 2003-07-24
JP2003209103A (ja) 2003-07-25
US20050145333A1 (en) 2005-07-07
KR20040007738A (ko) 2004-01-24
CN1515024A (zh) 2004-07-21
JP3891848B2 (ja) 2007-03-14
AU2003235587A1 (en) 2003-07-30
TW200407980A (en) 2004-05-16

Similar Documents

Publication Publication Date Title
KR100602926B1 (ko) 처리 장치 및 처리 방법
KR100521109B1 (ko) 처리 장치 및 클리닝 방법
KR100411318B1 (ko) 반도체 플라즈마 처리에 있어서의 종점 검출 방법
KR102023444B1 (ko) 플라스마 처리 장치 및 플라스마 처리 방법
US9240359B2 (en) 3D NAND staircase CD control by using interferometric endpoint detection
US7604010B2 (en) Film formation apparatus and method of using the same
JP2017212445A (ja) プラズマ処理装置をクリーニングする方法
KR100567481B1 (ko) 플라즈마 에칭 종료 검출 방법
US7959970B2 (en) System and method of removing chamber residues from a plasma processing system in a dry cleaning process
GB2332881A (en) Plasma etching apparatus cleaning system with gas analyser
US6762849B1 (en) Method for in-situ film thickness measurement and its use for in-situ control of deposited film thickness
US20030200924A1 (en) System and method for real time deposition process control based on resulting product detection
US20100190098A1 (en) Infrared endpoint detection for photoresist strip processes
US6878214B2 (en) Process endpoint detection in processing chambers
TWI791524B (zh) 用於製造電子裝置的設備、用於製造半導體裝置的設備以及估計在半導體處理腔室中的氣體濃度之方法
JP5973850B2 (ja) クリーニング終点検知方法
WO2020142451A1 (en) Monitoring process wall depositions and coatings
KR20080018810A (ko) 세정 공정의 종말점을 검출하는 방법
JP2006086325A (ja) クリーニングの終点検出方法
KR20220095494A (ko) 세정 종료점 검출방법
JP2009021624A (ja) 処理装置及び処理装置のクリーニング方法
JP4363861B2 (ja) 半導体製造装置
US20030183337A1 (en) Apparatus and method for use of optical diagnostic system with a plasma processing system
JPH09266195A (ja) 金属膜のエッチング方法及びそのエッチング装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110617

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee