TWI235450B - Method for producing semiconductor device - Google Patents

Method for producing semiconductor device Download PDF

Info

Publication number
TWI235450B
TWI235450B TW092101505A TW92101505A TWI235450B TW I235450 B TWI235450 B TW I235450B TW 092101505 A TW092101505 A TW 092101505A TW 92101505 A TW92101505 A TW 92101505A TW I235450 B TWI235450 B TW I235450B
Authority
TW
Taiwan
Prior art keywords
silicon layer
layer
oxide
complex
trench
Prior art date
Application number
TW092101505A
Other languages
English (en)
Other versions
TW200304686A (en
Inventor
David Russell Evans
Sheng-Teng Hsu
Bruce Dale Ulrich
Douglas James Tweet
Lisa H Stecker
Original Assignee
Sharp Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Kk filed Critical Sharp Kk
Publication of TW200304686A publication Critical patent/TW200304686A/zh
Application granted granted Critical
Publication of TWI235450B publication Critical patent/TWI235450B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/975Substrate or mask aligning feature

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

1235450 (1) 玖、發明說明 【發明所屬的技術領域】 本發明與使用單元隔離製程,以隔離物隔離裝置單元 的方法製造半導體裝置(諸如半導體積體電路)有關,更 明確地說,與使用自對齊淺溝隔離(自對齊STI )製程以 隔離例如成形在自對齊閘結構中之裝置單元之製造半導體 裝置的方法有關。 【先前技術】 習用上,有數種單元隔離法可用來隔離半導體基體上 毗鄰的裝置結構(例如電晶體)。用於半導體製程其中一 種單元隔離法是自1 970年代即開始使用的矽本地氧化法( 在後文中稱爲“LOCOS”),也稱其爲選擇性氧化。LOCOS 是本地選擇性氧化隔離製程。 另一種單元隔離法是直接淺溝隔離,也是吾人所熟知 的直接STI。此爲一簡單的淺溝單元隔離製程。淺溝是經 由遮罩層(例如氧化物遮罩或氮化物遮罩)在矽基體上蝕 刻而成。接著,在所得到的淺溝中塡入二氧化矽,並使用 化學機械拋磨法(CMP )整平。 也有使修改的STI製程。先生長閘氧化物,在形成良 好之後,再沈積第一複矽層。蝕刻穿過閘氧化物與第一複 矽層形成矽溝。接著在溝中塡入氧化物,接著是第二複矽 層。第一複矽與第二複矽層至少構成部分的複矽閘電極。 習用之LOCOS隔離製程的限制之一是由於用來定義隔 -6- (2) 1235450 離區之氮化物遮罩層下方的側向氧化,導致用來隔離單元 的場氧化物1 00出現“鳥嘴,,形的特徵,如圖1 5所示。此鳥 嘴縮小了電晶體裝置之被隔離單元間的有效通道寬度,並 導致電晶體內的門檻電壓不一致。 熟悉此方面技術的人士應瞭解,L Ο C Ο S隔離製程還有 其它限制,例如,產生瑕疵,場區域內會有從摻雜區離析 出的摻雜物,例如,瑕疵會圍繞著裝置的周邊產生。離析 出的硼進入場氧化物100會致使場的門檻電壓下降,並使 場的漏電增加。最糟的情況是毗鄰的裝置會經由場區域相 互地電氣連接。 直接STI法的缺點如圖16所示,裝置單元間之溝200的 角落2〇 1必須圓化以防止寄生的邊緣電晶體形成,或活性 區之邊緣202的閘氧化物層203崩潰,或以上兩者皆會產生 。因此,此隔離製程也會導致通道寬度縮小及門檻電壓不 一致。 修改之STI的主要缺點是第一複矽層的後拋磨(postpolish)厚 度控制 ,此致 使很難 偵測蝕 刻閘複 矽的止 鈾點。 各種不同的STI製程提供一平表面,此使得圖案製版較容 易。不過,由於沒有固有的對齊標記,因此,必須使用額 外的光阻遮罩步驟以鈾刻一對齊標記。 [發明內容】 按照本發明的一態樣,提供一種使用自對齊淺溝隔離 製程的半導體裝置製造方法,形成隔離單元以便與閘結構 (3) 1235450 自對齊,該方法的步驟包括:提供第一複矽層,覆蓋於基 體上的閘隔離層上;成形穿過第一複矽層並進入基體的溝 ;提供一氧化物層,覆蓋在包括溝的基體上,以使溝內氧 化物層的頂表面高於第一複矽層的底表面;提供第二複矽 層,覆蓋在氧化物層上,以使溝內第二複矽層的頂表面低 於第一複矽層的頂表面;整平第二複矽層,氧化物層及第 一複矽層,同時,整平的步驟止於溝內第二複矽層的頂表 面。 在本發明的一實施例中,氧化物層是先生長一層薄的 熱氧化物並接著使用CVD製程沈積或濺射剩餘的氧化物而 成。 在本發明的另一實施例中,閘隔離層是二氧化矽。 在本發明的另一實施例中,閘隔離層包括氧化矽、氧 氮化矽、氧化給、氧化銷、氧化鑭至少其中之一。 在本發明的另一實施例中,第一複矽層可以由覆蓋於 閘隔離層上的犧牲閘材料取代。 在本發明的另一實施例中,製造半導體裝置的方法進 一步包括在整平步驟之後使用氧化物選擇性蝕刻法蝕刻氧 化物層的步驟,藉以在氧化物層中形成對齊標記。 按照本發明的另一態樣,提供一種製造半導體裝置的 方法,其步驟包括:在整平後的基體上提供第三複矽層; 使用在成形閘結構期間所製作的光阻圖案選擇性地蝕刻第 三複矽層、第二複矽層及第一複矽層,同時在去除完第二 複矽層時偵測止蝕,藉以保留第一複矽層剩下的薄層。 -8- (4) 1235450 在本發明的一實施例中,使用具有高選擇性的蝕刻法 鈾刻所剩下的第一複砂層。 按照本發明的另一態樣,提供一種使用淺溝隔離( STI)法隔離單元之製造半導體裝置的方法,該方法的步 驟包括:成形一修改的STI結構,包括塡有氧化物的溝及 至少一層複矽層;在整平修改的STI結構步驟之後,選擇 性地蝕刻氧化物,藉以在氧化物層中成形一對齊標記。 在本發明的一實施例中,蝕刻溝內的氧化物以去除大 約1 0 0奈米的氧化物。 在本發明的另一實施例中,製造半導體裝置的方法進 一步包括在成形修改的STI結構期間沈積第二複矽層的步 驟。 按照本發明的另一態樣,提供一種製造半導體裝置的 方法,其步驟包括:提供第一複矽層,覆蓋在基體上的閘 隔離層上;成形穿過第一複矽層並進入基體的溝;提供一 層氧化物,覆蓋在包括溝的基體上,以使溝內氧化物層的 頂表面高於第一複矽層的底表面;提供第二複矽層,覆蓋 在氧化物層上,以使溝內之第二複矽層的頂表面低於第一 複矽層的頂表面;在第二複矽層上提供一犧牲氧化物層, 整平犧牲氧化物層、第二複矽層、氧化物層及第一複矽層 ,同時整平的步驟停止於溝內之第二複矽層的頂表面;使 用氧化物選擇性蝕刻法選擇性地蝕刻氧化物層,藉以在氧 化物層內成形對齊標記;提供第三複矽層,覆蓋在基體上 ;在第三複矽層上提供光阻,並對光阻製作圖案以定義閘 -9- (5) 1235450 結構’使用光阻選擇性地蝕刻第三複矽層、第二複矽層及 第一複砂層,同時在去除完第二複矽層時偵測止蝕,使用 具有筒選擇性的蝕刻法選擇性地鈾刻剩下的第一複矽層, 藉以成形閘結構。 以下將描述具有上述結構之本發明的功能。 按照本發明’第二複矽層是沈積於氧化物層上,以使 溝內第二複矽層的底表面高於第一複矽層的底表面,以及 ’溝內之第二複矽層的頂表面低於第一複矽層的頂表面。 第三複矽層沈積於第二複矽層上,接受整平處理。在成形 閘結構期間’在完成第三複矽層及第二複矽層的去除時偵 測到止蝕。使用具有高選擇性蝕刻的製程仔細地去除第一 複矽層剩下的薄層。因此,與傳統製程不同,本發明可以 去除露於外之第一複矽層的剩餘物,但不會超量去除位在 第一複矽層下方的閘隔離層,且偵測蝕刻閘複矽層之止鈾 點困難的問題得以解決,藉以防止傳統STI製程會在溝之 角落產生寄生的邊緣電晶體,以及使閘承受電壓的能力下 降。 因此,本文所描述的本發明提供了使用淺溝隔離( STI )製程製造半導體裝置之方法優點,能防止LOCOS製 程所致使的鳥嘴位移及雜質離析到井中,同時也解決了 STI製程所致使之偵測蝕刻閘複矽層之止蝕點困難的問題 ,藉以防止在溝之角落產生寄生的邊緣電晶體以及使閘承 受電壓的能力下降。 在參考附圖閱讀了以下的詳細描述之後,熟悉此方面 -10- (6) 1235450 技術的人士應可暸解本發明的上述及其它優點。 【實施方式】 現將參考附圖說明關於使用單元隔離製程製造半導體 裝置之方法之本發明的實施例。 圖1是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成成形第一複矽層16 (在以下的描述中 以複1表示)的步驟之後所得到之裝置結構1 0 A的橫剖面 圖。 如圖1所示的本方法,提供一半導體基體1 4,例如是 矽基體。在隔離毗鄰的裝置區域前如有需要,可先成形η 井或Ρ井。接下來,在半導體基體14上生長或生長及沈積 做爲閘隔離層的閘隔離物1 2,例如是閘氧化物膜,以覆蓋 整個半導體基體。沈積複1以覆蓋閘隔離物i 2,若有的話 ,接著成形η井或ρ井。按此方法,在成形犧牲閘(成形複 1的製程)的製程之後,裝置結構1 0 Α即形成。複1的厚度 稱爲Tpl。 在另一實施例中,適合犧牲閘的製程,以氮化矽層取 代做爲犧牲閘的材料,複1覆蓋於閘隔離物1 2上。此外, 閘隔離物12也包括矽氧化物(例如二氧化矽)或高k材料 ,諸如氧氮化矽、氧化給、氧化銷、氧化鑭或其它適合的 聞介電材料。 圖2是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成成形溝的步驟之後所得到之裝置結構 •11 - (7) 1235450 1 OB的橫剖面圖。 如圖2所示,在部分鈾刻複1、閘隔離物1 2及半導體基 體1 4之後形成溝1 8,藉以形成毗鄰的裝置區1 7。按此方法 ’在形成溝的製程之後,得到裝置結構1 0B。溝1 8深入半 導體基體1 4,從基體表面的頂部20延伸到溝1 8的底部22, 其深度稱爲XSTI,接著拋磨以得到平整表面。溝深度的公 差(不確定度或變量)稱爲AXSTI。半導體基體14經過部 分蝕刻之後,要執行淸潔以減少或消除蝕刻造成的損壞。 圖3是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成成形氧化物層的步驟之後所得到之裝 置結構10C的橫剖面圖。 如圖3所示,氧化物層30是沈積在複1上及溝18內的基 體14上,藉以得到成形氧化物層之製程後的裝置結構10C 。沈積氧化物層3 0的目的是以氧化物塡入溝1 8內。所形成 之氧化物層3 0的厚度要比溝的深度稍厚。特別是,氧化物 層3 0所具有的最小厚度要大於溝18在半導體基體14內的最 大可能深度。氧化物層30的厚度稱爲T\x,且氧化物層30 之厚度的公差稱爲ΔΤπ,氧化物層30應沈積及處理以使最 後的厚度滿足下式(1): Τ 〇 X - Δ Τ 〇 χ > X s τ I + Δ X s τ I ..... ( 1 ) 氧化物層3 0可包括薄的熱氧化物,以提供接著要沈積 氧化物之區域中氧化物與矽間良好的介面。沈積的氧化物 -12- (8) 1235450 可以使用包括化學氣相沈積(CVD )法的各種膜成形法成 形,諸如LTO、HPCVD、PECVD、或其它CVD法。也可以 使用非C VD法,諸如可以使用濺射法。在以任何適當的膜 成形法沈積了氧化物之後,如有需要,接著可在較高的溫 度下使氧化物密實。 圖4是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成成形複2的步驟之後所得到之裝置結 構10D的橫剖面圖。 如圖4所示,第二複矽層4〇(在本文中也稱爲複2或場 複(field poly ))是沈積覆蓋在裝置結構1 0C (或氧化物 層30)上。複2的厚度稱爲Tp2,複1的厚度(或複1與閘隔 離物12的總厚度)稱爲Τρ1。複2的厚度經過選擇,以使複 2的最在厚度加上氧化物層3 0的最大厚度要薄於溝1 8的最 小深度加上複1的最小厚度。因此,複2的厚度要滿足下式 (2): Τρ2 + ΔΤρ2+ Τ〇χ + ΔΤοχ < Xsti-AXsti + Τρι-ΔΤρ1 ........ ( 2) 爲滿足式(2),且複2須具有有義意的厚度,氧化物 要具有最大的要求厚度。氧化物層30的最大要求厚度應滿 足下式(3): Τ〇χ + ΔΤοχ < Xsti-AXsti + Τρΐ-ΔΤρΐ_ Tp2-ATp2 ........ ( 3) (9) 1235450 此會致使溝1 8內之氧化物層3 0的頂表面高於複1的底 表面,且溝1 8內之複2的頂表面低於複1的頂表面。按此方 法,即得到成形複2之製程後的裝置結構1 0D。 在氧化物層3〇上沈積覆蓋複2之後,在裝置結構i〇D 上沈積覆蓋一層犧牲氧化物層(未顯示)。犧牲氧化物層 例如可以是未密實化的TEOS。在一實施例中,犧牲氧化 物層的厚度比複1的最大厚度厚1.5倍。在另一實施例中, 犧牲氧化物層應具有閘隔離物12、複1、氧化物層30、複2 加起來的厚度,且犧牲氧化物層的厚度大約是活性區特徵 之總步驟高度的2倍,該高度對應於頂表面的實際起伏。 圖5是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成整平步驟之後所得到之裝置結構1 0E 的橫剖面圖。 如圖5所示,複2 (第二複矽層40)與氧化物層30要以 選擇比小的CMP法部分地拋磨,接著,使用選擇比高的 CMP從所得到之結構的頂表面拋磨及整平,直到第二複矽 層4 0在溝內的頂表面停止。按此方法,得到完成整平處理 之後的裝置結構1 0E。整平處理可使用下述的2步驟處理達 成。在第一步中,使用不具選擇性的漿料去除覆蓋的氧化 物以及覆蓋於裝置區域內之活性區上之部分的第二複矽層 4〇。第二步使用選擇性拋磨,繼續去除覆蓋於裝置區域內 之活性區上的氧化物層3 0,並於覆蓋於裝置區域內之活性 區上之第一複矽層16處以及位於場區域內之第二複矽層40 的頂表面處停止。在此整平步驟中,實際的場氧化物(在 -14- (10) 1235450 場區域內的氧化物層3 0 )並未被拋磨。在選擇性拋磨期間 ,裝置的活性區遠比場區域小,對於氧化物的拋磨率可以 選擇遠高於對複矽的拋磨率,例如選擇氧化物對複矽之鈾 刻率大於5 : 1者,因此,此CMP處理可以很容易達成。由 於下式(4 ): T p 2 + Δ T p 2 + T 〇 X + Δ T 〇 x < X s τ I - A X s τ I + Tp 1 Δ T p 1 ........ ( 4) 被滿足,在CMP停止於場複2處之前,複1上的氧化物 層3 0被完全去除。經由使用複2之頂表面做爲CMP的停止 點即可得到全面的整平,不需要使用反向標記的光阻及蝕 刻處理。 在此點,可以繼續如以下所詳述的製程。或者,如果 有使用任何犧牲閘材料的犧牲閘製程,也要一倂去除。犧 牲閘材料可以是複矽、氮化矽或其它適合的犧牲閘材料。 如有需要,下方的閘隔離物也可去除。也可成形取代的聞 隔離物,例如高-k的閘隔離物。接著完成取代閘的製程。 圖6是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成成形複3的步驟之後所得到之裝置結 構1 OF的橫剖面圖。 如圖6所示,在經CMP整平後之裝置結構10E上沈積覆 蓋第三複矽層6〇(在本文中也稱爲複3)。實際的閘複砂 厚度對應於複3之厚度加上經過CMP之後複1 (第一複砂層 1 6 )所剩厚度的和。按此方法,得到成形複3之製程後的 -15- (11) 1235450 裝置結構10F。 圖7是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成成形複矽閘的步驟之後所得到之裝置 結構10G的橫剖面圖。 如圖7所示,在複3 (第三複矽層60)上施加光阻70’ 並製作圖案以定義複矽閘結構72。此製程可以使用2步驟 的電漿鈾刻製程以鈾刻複3/複1 (第一複矽層1 6 )堆疊及 複3/複2 (第二複矽層40)堆疊。第一步具有高的複矽鈾 刻率,並於對應於所露出之複2完全被去除之點的止蝕點 停止。須注意,仍有一些複2仍保留在複3與光阻之下。按 此方法,得到成形複矽閘之製程後的裝置結構1 0G。 圖8是圖7所示裝置結構10G旋轉90度的橫剖面圖,以 顯示沿著電晶體之源/通道/汲(電晶體區域1 5 )的橫剖面 〇 由於Τ^-ΔΤοθΧπβΑΧπι,活性區(電晶體區域15) 的複1 (第一複砂層16)並未完全去除,如圖8所示,所剩 下複1的厚度與CMP處理無關。 在複2 (第二複矽層40 )被去除之後,使用高選擇性 的蝕刻法蝕刻複1 (第一複矽層1 6 )剩下的部分,此部分 的複1未被光阻覆盍。商選擇性的蝕刻停止於複2的底表面 ’並留下複1(第一複矽層16)的薄層16A覆於閘隔離物 12上。 圖9是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成去除所剩複1的步驟之後,所得到之 -16- (12) 1235450 裝置結構1 OH的橫剖面圖,圖中說明包括源區、通道區及 汲區的活性區(電晶體區域1 5 )。 如圖9所示,執行高選擇性的蝕刻以去除剩下的複1薄 層1 6 A,藉以減少或消除微觀之溝的形成。經由使用具有 高選擇性的電漿蝕刻,剩下的複1 (第一複矽層1 6 )可被 選擇性地及安全地去除,不會超量去除位於源及汲區內的 閘隔離物1 2。 接著,剝除殘留在複矽閘堆疊中的光阻70,堆疊中包 括位於每一個活性區上之複1剩下的部分及複3 (第三複矽 層6 0 )。在部分的複3 (第三複矽層60 )之下還有一些剩 下的複2 (即圖7中所示第二複矽層40的部分)延伸超過活 性區(電晶體區域1 5 ),在圖9中無法得見。按此方法, 得到去除剩餘複1之製程後的裝置結構1 0H。 圖1 〇是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成植入雜質離子之步驟後所得到之裝置 結構101的橫剖面圖。圖1 1是圖10所示裝置結構101旋轉90 度的橫剖面圖,以顯示沿著電晶體之源/通道/汲(電晶體 區域1 5 )的橫剖面。 在複矽閘結構72成形之後,將雜質離子植入半導體基 體I4,以形成與閘結構72自對齊的源及汲區76,如圖1〇及 11所示。複1、複2、複3也被轉變成n +或P +的複矽,與一 般傳統的製程相同。或者,也可以在蝕刻閘電極之前,以 及在將雜質離子植入半導體基體Μ對應於源及汲區76之區 域前(或期間)先摻雜複矽閘結構72。複矽閘可被 -17- (13) 1235450 salicided。此製程可以使用複矽閘摻雜、矽化物或自對齊 製程’包括^1丨(^(16(1製程等。摻雜後的複砂聞結構72八如 圖1 〇及1 1所示。按此方法,得到植入雜質離子的裝置結構 10卜 本發明的每一個實施例都顯示了修改之STI製程的某 些或全部優點,諸如窄通道效應可忽略不計、閘隔離物的 完整性高、整個電晶體的門檻電壓均勻一致,且場漏電小 〇 在另一實施例中,在上述修改之STI製程中加入了對 齊標記,但不需要額外的光阻及遮罩步驟。圖1 2是繼前述 圖5所顯示之整平後結構後執行額外蝕刻步驟後之裝置結 構的橫剖面圖。如圖12所示,在上述CMP步驟之後,使用 氧化物蝕刻以去除氧化物層3 0外露的部分。此製程可以使 用電漿蝕刻或含HF的濕鈾溶液蝕刻氧化物層3 0。在所示 的實施例中,氧化物層30之外露部分被蝕刻去除大約100 奈米以形成凹處,即圖12中所示的刻痕78。按此方法,得 到繼圖5所描述之整平處理後執行氧化物鈾刻製程後的裝 置結構10E'。 在蝕刻過氧化物層30後,在裝置結構10E'上沈積複矽 層60,如圖I3所示。圖I3中所示的複矽層60對應於圖6中 所示的複3,但在對應於刻痕78的部分出現額外的對齊標 記80。接著可完成上述的製程以形成最終的閘結構。現在 有了後續製版對齊所需的對齊標記8 0。按此方法,在圖1 2 所示的裝置結構1 0E'上成形複3之後,得到具有對齊結構 -18- (14) 1235450 的裝置結構10F'。 在另一實施例中,可以使用修改的STI製程將對齊標 記結合到沒有複2之單一的複STI結構中。所得到具有邊緣 的對齊結構作爲對齊標記80,如圖14所示。在CMP之後鈾 刻氧化物以形成對齊標記8 0。在蝕刻後,沈積另一對應於 複3的複矽層。但在此例中,製程中沒有使用複2。可以使 用後續的處理以完成裝置結構形成具有閘、源及汲區的電 晶體。按此方法,所得到之裝置結構1 〇F"的對齊結構與 圖1 3中所示的不同。 雖然所描述的例示性實施例包括了一些可能的變化, 但本發明的範圍並不限於這些實例,本發明的範圍由所附 申請專利範圍決定。 如上所述,本發明的STI處理包括:成形第一複ΐ夕層 16覆蓋半導體基體14的複1成形步驟;穿過第一複矽層16 並進入半導體基體14以形成溝18 (單元隔離溝)的溝形成 步驟;在溝1 8內塡入氧化物層3 0以使溝1 8內之氧化物層3 0 的頂表面高於第一複矽層1 6之底表面的氧化物層成形步驟 :沈積第二複矽層40覆蓋氧化物層30以使溝18內之第二複 矽層4 0的頂表面低於第一複矽層1 6之頂表面的複2形成步 驟;使用CMP處理整平第二複矽層40、氧化物層30及第一 複矽層1 6的整平步驟;經由選擇性蝕刻氧化物層3 0以成形 對齊標記的對齊標記形成步驟;在經整平的裝置結構上沈 積第三複矽層60的複3成形步驟;以及使用光阻對第二複 矽層40、第三複矽層60及第一複矽層16製作圖案的閘結構 -19- (15) 1235450 成形步驟,同時,在完成第一複砂層4 0的去除時偵測止蝕 ,並使用選擇性触刻處理仔細地去除第一複砂層1 6剩下的 薄層,藉以形成閘結構。因此,第二複矽層4 0是沈積在氧 化物層3 0之上,俾使溝1 8內之第二複矽層4 0的底表面高於 第一複矽層16的底表面,以及,溝18內之第二複矽層40的 頂表面低於第一複矽層1 6的頂表面。第三複矽層6 〇沈積於 第二複矽層4 0上接受整平處理。在成形閘結構期間,是在 第三複矽層60及第二複矽層40每次去除完成之處偵測止蝕 。使用具有高選擇性的蝕刻處理仔細地去除第一複矽層i 6 所剩下的薄層。因此,不會像傳統製程,本發明可以去除 第一複矽層1 6外露的剩下部分,但不會超量地去除到第一 複矽層1 6下方的閘隔離物層1 2。此外,L Ο C Ο S製程會導致 的鳥嘴位移及摻雜物離析到井中的問題,以及在STI製程 中偵測蝕刻閘複矽之止蝕點困難等問題都得到解決,藉以 防止在溝之角落形成寄生的邊緣電晶體,以及閘耐電壓的 能力下降。 如上所述,按照本發明,第二複矽層是沈積在氧化物 層之上,俾使溝內之第二複矽層的底表面高於第一複矽層 的底表面,以及,溝內之第二複矽層的頂表面低於第一複 矽層的頂表面。第三複矽層沈積於第二複矽層上以接受整 平處理。在成形閘結構期間,在第三複矽層與第二複矽層 每一層去除完成之處偵測止蝕。使用具有高選擇性的蝕刻 處理仔細地去除第一複矽層所剩下的薄層。因此,不像傳 統製程,可以去除第一複矽層外露的剩下部分,但不會超 20- (16) 1235450 量地去除到第一複矽層下方的閘隔離物層,且傳統S ΤΙ製 程所致使之鈾刻閘複矽的止蝕點難以偵測的問題都得以解 決,藉以防止在溝之角落產生寄生的邊緣電晶體,以及閘 耐電壓的能力下降。 【圖式簡單說明】 圖1是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成成形第一複矽層的步驟之後所得到之 裝置結構的橫剖面圖。 圖2是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成成形溝的步驟之後所得到之裝置結構 的橫剖面圖。 圖3是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成成形氧化物層的步驟之後所得到之裝 置結構的橫剖面圖。 圖4是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成成形第二複矽層的步驟之後所得到之 裝置結構的橫剖面圖。 圖5是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成整平步驟之後所得到之裝置結構的橫 剖面圖。 圖6是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成成形第三複矽層的步驟之後所得到之 裝置結構的橫剖面圖。 -21 - (17) 1235450 圖7是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成成形複矽閘的步驟之後所得到之裝置 結構的橫剖面圖。 圖8是圖7所示裝置結構旋轉90度的橫剖面圖,以顯示 沿著電晶體之源/通道/汲的橫剖面。 圖9是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成去除所剩第一複矽層的步驟之後,所 得到之裝置結構的橫剖面圖,說明包括源區、通道區及汲 區的活性區。 圖1 〇是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在完成植入雜質離子的步驟之後所得到之裝 置結構的橫剖面圖。 圖1 1是圖10所示裝置結構旋轉90度的橫剖面圖,以顯 示沿著電晶體之源/通道/汲的橫剖面。 圖1 2是按照本發明之方法使用自對齊淺溝隔離製程製 造半導體裝置在成形對齊結構期間,完成成形刻痕的步驟 之後所得到之裝置結構的橫剖面圖。 圖1 3是跟在圖1 2所描述之步驟後完成成形對齊標記的 步驟之後所得到之裝置結構的橫剖面圖。 圖14是在成形有別於圖13所示之對齊結構期間所得到 之裝置結構的橫剖面圖。 圖15是使用傳統LOCOS製程所得到具有鳥嘴部之裝置 溝的橫剖面圖。 圖16是使用傳統STI製程所得到裝置結構之單元間溝 -22- (18) 1235450 角落部的橫剖面圖。 【符號說明 】 100 場 氧 化 物 200 溝 20 1 角 落 202 邊 緣 203 閘 氧 化 物 層 10 裝 置 結 構 16 第 一 複 矽 層 14 半 導 體 基 體 12 閘 隔 離 物 18 溝 17 毗 鄰 裝 置 區 20 基 體 表 面 的 頂 部 22 溝 的 底 部 3 0 氧 化 物 層 40 第 二 複 矽 層 60 第 二 複 矽 層 70 光 阻 72 複 矽 閘 結 構 15 電 晶 體 Π-ΣΖ. 區 域 1 6 A 第 一 複 矽 層 剩 下的薄層 76 源 及 汲 區
-23- (19)1235450 72A 摻雜後的複矽閘結構 78 刻痕 80 對齊標記
-24-

Claims (1)

1235450拾、申請專利範圍
曰 太 ♦ 第9 2 1 0 1 5 0 5號專利申請案 中文申請專利範圍修正本 民國94年3月22日修正 1 . 一種使用自對齊淺溝隔離製程的半導體裝置製造 方法,形成隔離單元以便與閘結構自對齊,該方法的步驟 包括: 提供第一複矽層,覆蓋於基體上的閘隔離層上; 成形穿過第一複矽層並進入基體的溝; 提供一氧化物層,覆蓋在包括溝的基體上,以使溝內 氧化物層的頂表面高於第一複矽層的底表面; 提供第二複矽層,覆蓋在氧化物層上,以使溝內第二 複矽層的頂表面低於第一複矽層的頂表面; 整平第二複矽層,氧化物層及第一複矽層,同時,整 平的步驟停止於溝內第二複矽層的頂表面。 2 ·如申請專利範圍第1項之半導體裝置製造方法,其 中氧化物層是先生長一層薄的熱氧化物並接著使用C V D製 程沈積或濺射剩餘的氧化物而成。 3 ·如申請專利範圍第1項之半導體裝置製造方法,其 中閘隔離層是二氧化矽。 4 ·如申請專利範圍第1項之半導體裝置製造方法,其 中閘隔離層包括氧化砂、氧氮化砂、氧化飴、氧化|告、氧 化鑭至少其中之一。 5 .如申請專利範圍第1項之半導體裝置製造方法,其 1235450 中第一複矽層可以由覆蓋於閘隔離層上的犧牲閘材料取代 〇 6· 一種製造半導體裝置的方法,其步驟包括: 在整平後的基體上提供第三複矽層;以及 使用在成形閘結構期間所製作的光阻圖案選擇性地蝕 刻第三複矽層、第二複矽層及第一複矽層,同時在去除完 第二複矽層時偵測止蝕,藉以保留第一複矽層剩下的薄層 〇 7 ·如申請專利範圍第6項之製造半導體裝置的方法; 使用具有高選擇性的蝕刻法蝕刻所剩下的第一複矽層。 8 ·如申請專利範圍第1項之半導體裝置製造方法,進 一步包括在整平步驟之後使用氧化物選擇性蝕刻法蝕刻氧 化物層的步驟,藉以在氧化物層中形成對齊標記。 9· 一種使用淺溝隔離(STI )法隔離單元之製造半導 體裝置的方法,該方法的步驟包括: 成形一修改的STI結構,包括塡有氧化物的溝及至少 一層複矽層; 在整平修改的STI結構步驟之後,選擇性地蝕刻氧化 物,藉以在氧化物層中成形一對齊標記。 10. 如申請專利範圍第9項之製造半導體裝置的方法 ,蝕刻溝內的氧化物以去除大約1 〇〇奈米的氧化物。 11. 如申請專利範圍第9項之製造半導體裝置的方法 ,進一步包括在成形修改的STI結構期間沈積第二複矽層 的步驟。 -2- 1235450 12. 一種製造半導體裝置的方法,其步驟包括: 提供第一複矽層,覆蓋在基體上的閘隔離層上; 成形穿過第一複矽層並進入基體的溝; 提供一層氧化物,覆蓋在包括溝的基體上,以使溝內 氧化物層的頂表面高於第一複矽層的底表面; 提供第二複矽層,覆蓋在氧化物層上,以使溝內第二 複矽層的頂表面低於第一複矽層的頂表面;
在第二複矽層上提供一犧牲氧化物層; 整平犧牲氧化物層、第二複矽層、氧化物層及第一複 矽層,同時整平的步驟停止於溝內之第二複矽層的頂表面 使用氧化物選擇性蝕刻法選擇性地蝕刻氧化物層,藉 以在氧化物層內成形對齊標記; 提供第三複矽層,覆蓋在基體上;
在第三複矽層上提供光阻,並對光阻製作圖案以定義 閘結構;以及 使用光阻選擇性地蝕刻第三複矽層、第二複矽層及第 一複矽層,同時在去除完第二複矽層時偵測止蝕,使用具 有高選擇性的蝕刻法選擇性地蝕刻剩下的第一複矽層,藉 以成形閘結構。
TW092101505A 2002-03-29 2003-01-23 Method for producing semiconductor device TWI235450B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/112,014 US6627510B1 (en) 2002-03-29 2002-03-29 Method of making self-aligned shallow trench isolation

Publications (2)

Publication Number Publication Date
TW200304686A TW200304686A (en) 2003-10-01
TWI235450B true TWI235450B (en) 2005-07-01

Family

ID=28453218

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092101505A TWI235450B (en) 2002-03-29 2003-01-23 Method for producing semiconductor device

Country Status (6)

Country Link
US (1) US6627510B1 (zh)
EP (1) EP1353369B1 (zh)
KR (1) KR100515181B1 (zh)
CN (1) CN1278407C (zh)
DE (1) DE60317963T2 (zh)
TW (1) TWI235450B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5037766B2 (ja) * 2001-09-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
DE10301291B3 (de) * 2003-01-15 2004-08-26 Infineon Technologies Ag Verfahren zum Einbringen von eine unterschiedliche Dimensionierung aufweisenden Strukturen in ein Substrat
US6716691B1 (en) * 2003-06-25 2004-04-06 Sharp Laboratories Of America, Inc. Self-aligned shallow trench isolation process having improved polysilicon gate thickness control
KR100514173B1 (ko) * 2004-01-15 2005-09-09 삼성전자주식회사 반도체 장치의 게이트 형성 방법.
US7012021B2 (en) * 2004-01-29 2006-03-14 Taiwan Semiconductor Mfg Method for end point detection polysilicon chemical mechanical polishing in an anti-fuse memory device
US8039339B2 (en) * 2007-04-23 2011-10-18 Freescale Semiconductor, Inc. Separate layer formation in a semiconductor device
CN102468212B (zh) * 2010-11-15 2014-03-12 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构形成方法
CN102339746B (zh) * 2011-09-28 2016-04-06 上海华虹宏力半导体制造有限公司 形成平坦介质层的方法
US9330959B2 (en) * 2014-04-13 2016-05-03 Texas Instruments Incorporated Isolated semiconductor layer in bulk wafer by localized silicon epitaxial seed formation
US10811320B2 (en) * 2017-09-29 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5238859A (en) * 1988-04-26 1993-08-24 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
JPH0370180A (ja) * 1989-08-09 1991-03-26 Fujitsu Ltd 半導体装置の製造方法
US5202277A (en) * 1989-12-08 1993-04-13 Matsushita Electric Industrial Co., Ltd. Method of fabricating a semiconductor device
JP3174786B2 (ja) * 1991-05-31 2001-06-11 富士通株式会社 半導体装置の製造方法
JP3057882B2 (ja) * 1992-03-09 2000-07-04 日本電気株式会社 半導体装置の製造方法
JP3202460B2 (ja) * 1993-12-21 2001-08-27 株式会社東芝 半導体装置およびその製造方法
US6069081A (en) * 1995-04-28 2000-05-30 International Buiness Machines Corporation Two-step chemical mechanical polish surface planarization technique
JP2790084B2 (ja) * 1995-08-16 1998-08-27 日本電気株式会社 半導体装置の製造方法
DE19538005A1 (de) * 1995-10-12 1997-04-17 Fraunhofer Ges Forschung Verfahren zum Erzeugen einer Grabenisolation in einem Substrat
US5665202A (en) * 1995-11-24 1997-09-09 Motorola, Inc. Multi-step planarization process using polishing at two different pad pressures
US6091129A (en) * 1996-06-19 2000-07-18 Cypress Semiconductor Corporation Self-aligned trench isolated structure
JPH10125637A (ja) * 1996-10-15 1998-05-15 Toshiba Corp 半導体装置の製造方法
US6103592A (en) * 1997-05-01 2000-08-15 International Business Machines Corp. Manufacturing self-aligned polysilicon fet devices isolated with maskless shallow trench isolation and gate conductor fill technology with active devices and dummy doped regions formed in mesas
JP3519579B2 (ja) * 1997-09-09 2004-04-19 株式会社ルネサステクノロジ 半導体装置及びその製造方法
WO1999046081A1 (en) * 1998-03-11 1999-09-16 Strasbaugh Multi-step chemical mechanical polishing process and device
KR100579538B1 (ko) * 1998-06-30 2006-05-15 후지쯔 가부시끼가이샤 반도체 장치의 제조 방법
US6146975A (en) * 1998-07-10 2000-11-14 Lucent Technologies Inc. Shallow trench isolation
KR20010004309A (ko) * 1999-06-28 2001-01-15 김영환 웨이퍼 정렬키 형성방법
KR100318270B1 (ko) * 1999-12-16 2001-12-24 박종섭 반도체 소자의 오버레이 버어니어 형성방법
US6417072B2 (en) * 2000-02-10 2002-07-09 International Business Machines Corporation Method of forming STI oxide regions and alignment marks in a semiconductor structure with one masking step
JP3503888B2 (ja) * 2000-09-01 2004-03-08 沖電気工業株式会社 アライメントマーク及びその形成方法
US6713884B2 (en) * 2001-12-20 2004-03-30 Infineon Technologies Ag Method of forming an alignment mark structure using standard process steps for forming vertical gate transistors

Also Published As

Publication number Publication date
EP1353369A2 (en) 2003-10-15
DE60317963D1 (de) 2008-01-24
EP1353369B1 (en) 2007-12-12
US20030186503A1 (en) 2003-10-02
EP1353369A3 (en) 2004-05-06
KR100515181B1 (ko) 2005-09-16
DE60317963T2 (de) 2008-11-27
TW200304686A (en) 2003-10-01
CN1457090A (zh) 2003-11-19
US6627510B1 (en) 2003-09-30
CN1278407C (zh) 2006-10-04
KR20030078637A (ko) 2003-10-08

Similar Documents

Publication Publication Date Title
US7205630B2 (en) Method and apparatus for a semiconductor device having low and high voltage transistors
TW560044B (en) Semiconductor memory device having floating gate and manufacturing method of the same
US9356108B2 (en) Dummy structure for multiple gate dielectric interface and methods
US7557402B2 (en) High write and erase efficiency embedded flash cell
KR20060129037A (ko) 반도체 제조 동안 sti 디봇 형성 감소 방법
KR20020046208A (ko) 반도체 장치 및 그 제조 방법
KR20120131049A (ko) 비휘발성메모리장치 및 그 제조 방법
TWI235450B (en) Method for producing semiconductor device
EP0971415A1 (en) Process for the fabrication of a semiconductor non-volatile memory device with Shallow Trench Isolation (STI)
US6716691B1 (en) Self-aligned shallow trench isolation process having improved polysilicon gate thickness control
KR100587186B1 (ko) 저전력 플래시 메모리 셀 및 방법
CN101540286A (zh) 半导体装置制造方法
US6306741B1 (en) Method of patterning gate electrodes with high K gate dielectrics
KR100695868B1 (ko) 소자 분리막과 그 제조 방법, 이를 갖는 반도체 장치 및 그제조 방법
CN103578945A (zh) 一种用于制造半导体器件的方法
KR20010095143A (ko) 반도체 장치와 그 제조 방법
US20210328042A1 (en) Method for manufacturing a semiconductor device
US20210210609A1 (en) Method of manufacturing semiconductor device
KR20040060565A (ko) 반도체 소자의 더미 게이트 및 도핑을 이용한 이중 게이트산화막 제조방법
TWI238517B (en) Method for fabricating integrated circuits having both high voltage and low voltage devices
EP1246237A2 (en) A method of forming isolation trenches between active regions for an E2PROM cell
JP2006339597A (ja) 半導体装置およびその製造方法
TW424303B (en) Manufacturing method for dual-gate CMOS device
KR100520514B1 (ko) 반도체 소자 및 그의 제조방법
JP2004228545A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees