TW473555B - High density plasma CVD process for making dielectric anti-reflective coating - Google Patents

High density plasma CVD process for making dielectric anti-reflective coating Download PDF

Info

Publication number
TW473555B
TW473555B TW088109768A TW88109768A TW473555B TW 473555 B TW473555 B TW 473555B TW 088109768 A TW088109768 A TW 088109768A TW 88109768 A TW88109768 A TW 88109768A TW 473555 B TW473555 B TW 473555B
Authority
TW
Taiwan
Prior art keywords
processing chamber
sih4
film
density plasma
silicon
Prior art date
Application number
TW088109768A
Other languages
English (en)
Inventor
Gill-Young Lee
Original Assignee
Siemens Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens Ag filed Critical Siemens Ag
Application granted granted Critical
Publication of TW473555B publication Critical patent/TW473555B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

473555 A7 B7 經 濟… 部 智 慧、 財. 產, 局- 員 工 消 費 合 作 社 印 製 五、發明說明( 發明背景 1 .發明領域 本發明傜針對一種沈積氧氮化矽和二氣化砂的方法, 其以一種方式使得這些化學増強的光阻不會受使用高密 度電漿(HDP)時所産生的胺基或懸空鍵所污染。通常, 在比平常壓力範圍低且在較高的功率使用高密度電漿 (HDP)CVD条統來沈積氣氮化矽DARC和二氧化矽,藉以容 許使用〇2氣當作DARC的氣源而達成本發明。 2 .先前技_之說明 氣氮化矽D A R C已經廣用於D ϋ V光刻術,且特別引人注 意的是改善光刻過程,因為它的可調性折射率及對光阻 的高蝕刻選擇性。光阻污染偽使用或應用氧氮化矽膜的 膜時的一較大問題;尤其是由於胺基的存在,已知中和 酸觸媒時其會污染化學増強之光阻,及使光阻部分不溶 於顯影劑中。 化學增強的光阻之成像機構係一種由輻射接受光子的 光酸發生劑,其産生一種會催化化學反應的酸。此改變 光阻的溶解性,而使其可被顯影劑所去除。化學增強的 光阻在曝光時必須産生催化酸。任何環境的污染或其它 脱酸過程或基材汚染結果可IT導_致一異一常的一光一阻$1-廓。 化學増強的正光阻之本身有在含氮的基材如氣氮化砂 、氮化矽及氮化鈦上成為"足部”的趨勢。污染量強烈地 取決於光阻的化學。 防止光阻被氧氮化矽污染的典型方法僳沈積額外的二 -3 - 本紙張尺度適用中國國家標準(CNS)A4規格(2]〇χ 297公釐) n i n n In n I · I n I n If n n I (請先閱讀背面之注意事項再填寫本頁) 473555 A7 ____B7_ 五、發明說明(> ) 氧化砂及/或電漿處理以氧化氣氮化砂的表面。在此方 法中,R F P E C V D (電漿增強的化學氣相沈積)係在真空中 操作,且此糸統的壓力範圍僳1托至2 Q托。習知的D A R C 膜傺在約1 . 5托至1 Q托的壓力範圍中沈積。在此壓力範 圍,因為有爆炸的危險而不能使用〇2氣體。因此,通 常使用 n2〇 氣體當作氧氮化矽和二氣化矽的氣源。以 其它方式,g卩使有附加的二氣化矽膜當作壁障層,但此 層可能含有來自N20反應氣體的氮及/或胺基。視化學 增強的光阻對於基材膜中氮含量的敏感性而定,表面處 的極少量胺基或懸空鍵可能導致光阻污染,如第1圖中 所示。 美國專利5 , 6 1 4,0 5 5掲示一種高密度電漿C V D及蝕刻反 應器。特別地,第6和8圔之實施例的電漿反應器傜用 於執行任何C V D薄膜沈積。在第1 ί)欄第1 6 - 2 3行中,其指 出這些反應器係特別有用於使用高反應性化學前驅物如 矽烷的任何薄膜之沈積。在該專利的此部分更掲示説反 應器可用於二氧化矽以外的薄膜,如鑽石,且這些實施 例可執行具有高介電常數的材料之沈積。 經濟部智慧財產局員工消費合作社印制衣 ’0 55的專利的電漿方法傜如下達成:提供一種真空處 理室,其容納一欲被處理的工件且其一備具有天線,包 括一實質上圓頂形部,至少部分圍繞一電漿發生區域, 及一豎立的圓柱形部,位於該實質上圓頂形部之下方; 將一含有電負性氣體的處理氣送入該處理室内;共振地 耦合RF電訊號至該天線;及非共振地及感應地該天線將 本紙張尺度適用中國國家標準(CNS)A.l規格(210 X 297公釐) 473555 A7 B7 五、發明說明(^ 入該處理氣之該處理室的電漿發生區域内所 内,藉以使該工件被該電漿所處理。 專利的電漿方法中,電負性氣體可包含鹵素 可另外包括一種用於二氧化矽的先質氣體。 5, 626, 922中掲示一種藉電漿CVD法來形成薄 理方法,其中在磁場的存在下産生高密度電 的第2欄第63行至第3欄第15行中指出為了 30托的範圍同樣高的壓力下産生及維持高密 在低真空下於柱狀空間中産生E C R。之後, 、液體或固體的導入柱狀空間内以産生一種 持在高壓下,侔獲得一種具有濃縮産品氣體 産品氣體的濃度偽大於習知的ECR CVD方法 的氣體濃度。 前段中之掲示内容指出所獲得的薄膜包括碩 、卜碩、DLC及絶綠陶瓷、金屬膜,特別是 的金屬之膜》 經 濟 部 智 慧、 財 產 局- 員 工 消 費 合 社 印 製 電磁能偶合 形成的電漿 在’0 5 5的 ,而處理氣 美國專利 膜的電漿處 漿。 1 9 2 2專利 在與Q . G 3至 度電漿,而 將一種氣體 電漿,其保 的空間,該 中一般使用 其緊鄰的 膜、鑽石膜 具有高熔點 美國專利 積含鈦的導 密度電漿, 分鐘之速率 或較少的氯 因此,製 偽光阻污染 (請先閱讀背面之注意事項再填寫本頁) 5, 721,021掲示一種使用高密度電漿CVD來沈 電性薄膜之方法。恃別地,産生一種低壓高 具有2 . 5 k W的射頻'功率-輸-出,能以約10奈一米一/ 沈積出氮化鈦膜。所獲得的氮化鈦膜具有1 :!; 含量、金屬光澤和低阻抗。 備氣氮化矽DARC的先前方法之一個明顯缺點 的存在,儘管使用附加的二氣化矽及/或電 本紙張尺度適用中國國家標準(CNS)A4規格(2]0^ 297公釐) 473555 A7 B7 五、發明說明(* 漿處理來氣化氧氮化矽的表面,此光阻污染仍保持原樣 的。 製備氣氮化砂DARC的先前技藝方法之另一個明顯缺點 偽在於:當使用R F P E C V D時,此方法必須在約1托至約2 0 托壓力範圍的真空条統中進行,更典型地,在約1 . 5托 至約10托壓力範圍的沈積DARC膜;然而,在此壓力範圍 中由於爆炸的危險所以不能使用〇 2 ^ 製備氣氮化矽DARC的先前方法之又一個明顯缺點僳在 於:由於爆炸的危險而不能使用0 2 ,因此使用N 2 0氣 當作氣氮化矽和二氣化矽的氣源;然而,當用附加的二 請 先 閲 讀 背 之 注 意 事 項 N 及 ,氮 時的 層體 障氣 壁應 作反 當ο 2 膜 = 矽自 化來 氧有 含 於 處 程 製 使 會 用 使 的 氣 視 此 因 ο 險 風 之 基 胺 或 表如 定向 而傾 性的 感染 敏污 的阻 量光 含致 氤導 中有 膜鍵 材空 綦懸 於或 對基 阻胺 光量 的少 強極 增的 學處 化而 矽 化 氮 氧 如 材 基 氮 含 該 實 證 所 部 足。 " 鈦 的化 上氮 材及 基矽 氮化 含氮 由 、 線. 經濟部智慧財產局員工消費合作社印製 矽 化 氧 CV二 漿及 電膜 度矽 密化 高氮 用氣 使的 種層 一 塗 供射 提反 於抗 在 、 的電 目介 一 於 述明用 概發造 明本製 發 來 統 条 糸 D V C 睽 ti 度 密 高 用 使 種 1 供 提 於 在 的 目 ο 1 法另 方明 之發 膜本 I罩
法 4 方 Η 之S1 膜的 矽例 化fcb 氤一 氧任 的傺 層品 塗學 射化 。 反的Ar 抗砂/ 2 、 化 N 電氮/ 2 介氧 ο 於積/ 用沈H4 诰於S1 製用或 來中 2 統其/N 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 473555 經濟部智慧財產局°貝工消費合作社印製 A7 _B7_ 五、發明說明() 本發明又一目的在於提供一種使用高密度電漿C V D条 統來製造用於介電、抗反射塗層的氣氮化矽膜及二氣化 矽罩膜之方法,其中用於沈積附加之二氣化矽壁障層的 化學品傜任一比例的S i Η 4 / 0 2或S i Η 4 / 0 2 / A r。 本發明猶一目的在於提供一種使用高密度電漿CVD条 統來製造用於介電、抗反射塗層的氧氮化矽膜及二氧化 , 矽罩膜之方法,其中任何頻率或任何RF功率的組合可用 當作功率源,範圍為約5 0瓦至約5 , 0 0 0瓦。 本發明猶再一 B的在於提供一種使用高密度電漿C V D 糸統來製造用於介電、抗反射塗層的氧氮化矽膜及二氧 化矽罩膜之方法,其中任何頻率或任何R F功率的組合可 用當作Ar濺射的偏壓功率,範圍為ϋ瓦至約4, 000瓦。 本發明更一目的在於提供一種使用高密度電漿CVD条 統來製造用於介電、抗反射塗層的氣氮化矽膜及二氧化 矽罩膜之方法,其中HDP CVD室的壓力偽介於0.1毫托和 約2 [)毫托之間。 本發明猶再一目的在於提供一種使用高密度電漿C V D 条統來製造用於介電、抗反射塗層的氣氮化矽膜及二氧 化矽罩膜之方法,其中在沈積作業期間S iH 4的流速可 在約1 s c c m至約_5_0 0 s c c ηΓ的—範一圍一内-「且一在一^尤一積^期--間-G 2--的 流速亦可在約Isccia至約500sccm的範圍内。 本發明更一目的在於提供一種使用高密度電漿CVD条 統來製造用於介電、抗反射塗層的氣氪化矽膜及二氧化 矽罩膜之方法,其中在沈積作業期間Ar的流速可在約 -7 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) -------------裝--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 473555 A7 B7 五、發明說明(知
Osccm至約500sccm的範圍内;用於背部冷卻的He氣可在 約〇托至約2 G托的範圍内;而靜電夾具功率可在0 V至 2,0 0 0 V的範圍内。 大體上,在一能容許使用0 2當作D AR C的氧源之較低 壓力範園内和較高的功率,使用高密度電漿(H D P ) C V D条 統來沈積氣氮化矽D A R C及二氣化矽,而達成本發明。 (H D P ) C V D法使用至少二種不同的功率當作電漿發生源, 以用於沈積部,及一種偏壓功率,以用於使用Ar氣的濺 射部。此方法係在幾毫托的範圍内操作,因此能使用〇 2 氣和311]4氣!>因為其之較低壓力範圍、較高功率及現 場濺射,而提供一種高品質的薄膜,使用S i Η 4 / 0 2 / N 2 來沈積氧氮化矽,而使用S i Η 4 / 0 2來沈積作為附加壁 障層的二氧化矽,其不含有氤成分。依本發明方法所製 備的化學增強之光阻偽不産生任何HDP氧化物膜的污染。 圖式之簡單說明 第1圖大體上傜一種光阻輪廓的照片,顯示氧氮化矽 D A R C層上的”足部”或污染,其中具體言之,1 a表示没有 罩和電漿;1 h示氧氮化矽加上罩氧化物在1 0 G A ; 1 c表示 氧氮化矽加上罩氧化物在2 0 Q A ; 1 d表示氧氮化矽加上罩 氧化物茌3—21Π;—第T6圖表示-氧-氮-化—砂—加一上罩-氣-氮-化-物-在一3士0-A ,於1 2 0瓦的電漿處理後;而第1 f圖表示氣氮化矽加上 仟瓦的電漿處理後 第2 _偽依本發明方法所製備的化學增強之光阻的照 Η ,其中沒有HDP氣化物的污染,如沒有”足部π所顯示 -8 - 本紙張尺度適用中國國家標準(CNS)A4規格(2]0 X 297公釐) 請 先 閒 言買 背 之 注 意 事 項 頁 經濟部智慧財產局員工消費合作社印制^
氧氮化物在3 2 0 A 473555 經濟部智慧財產忌員工消費合作社印製 A7 B7 五、發明說明(7 ) 者。 發明之詳細說明 本發明傜在較低的壓力範圍和較高的功率範圍使用高 密度電漿(HDP)CVD來沈積氣氮化矽DARC及二氣化矽,因 此容許使用〇 2氣當作D A R C的氣源。 本發明的H D P C V D方法使用至少二種不同的功率當作 電漿發生源,以用於沈積部,或一種偏壓功率,以用於 使用A r氣的濺射部。由於在幾毫托的範圍内操作,本發 明方法容許使用〇2氣連同SiH4氣。本發明的HDP CVD 方法亦提供高品質的薄膜,因為其使用較低壓力範圍、 較高功率及現場濺射。 本發明方法中使用任一比例的S i Η 4 / 〇 2 / N 2或S i Η 4 / Ο 2 / Ν 2 / A r來沈積氣氮化矽,且使用任一比例的S i Η 4 / 0 2或S i Η 4 / Ο 2 / A r來沈積作為附加壁障層的二氣化矽 ,其不含有氮成分。由於本發明方法的結果,化學增強 之光阻係不招致任何H D P氣化物膜的污染。 本發明方法之一重要特微像在於可使用HDP氣氮化矽 而不需要二氧化矽單層,因為其偽為比習用的PECVD膜 較密和較清潔之薄膜。 實例 在真空反應器室内,使用高密度電漿(Η I) P ) C V D方法來 沈積氣氮化矽D A R C及二氧化砂,且中任何頻率或任何R F 功率的組合可用當作功率源,範圍為約5 0瓦至約5 , 0 0 0 瓦,及在低壓範圍於約Q . 1毫托至約20毫托的真空室中。 本紙張尺度適用中國國家標準(CNS)A4規格(2]〇χ 297公釐) -------------裝--------訂---------線 (請先閱讀背面之注意事項再填寫本頁)

Claims (1)

  1. 473555
    五、發明說明(8) Ar濺射的偏壓功率係在〇瓦至約4,000瓦的範圍內’且使用〇2 氣當作DARC的氧源。在沈積期間〇2的流速係介於約Isccm和約 500sccm之間,而在沈積期間N2的流速係介於約Osccm和約500sccm 之間。 在本發明一實施例中,當沈積氧氮化矽時,則利用SiH4/02/N2 化學品,而所獲得的高密度電漿係爲比習知薄膜較密且較淸潔的 薄膜。此係非常正面的,因爲可使用HDP氮氧化矽而不需要二氧 化矽罩層。 然而,當使用SiH4/02/N2混合物來沈積氧氮化矽時,則可藉沈 積SiH4/02而使用二氧化矽當作附加的壁障層,其不含有氮成分。 圖式簡單說明 第1圖大體上係一種光阻輪廓的照片,顯示氧氮化矽DARC層 上的足部”或污染,其中具體言之,la表示沒有罩和電漿;lb示 氧氮化矽加上罩氧化物在1 00 A ; 1 c表示氧氮化矽加上罩氧化物在 200 A; Id表示氧氮化矽加上罩氧化物在3 20A;第le圖示氧氮化 矽加上罩氧氮化物在3 20A,於120瓦的電漿處理後;而第if圖 表示氧氮化矽加上氧氮化物在3 20 A,於一仟瓦的電漿處理後。 第2圖係依本發明刀法所製備的化學增強之光阻的照片,其 中在800A沒有HDP氧化物的污染’如沒有"足部"所顯示者。 -10- Μ 7.
    公告本 六 圍 第881097 68號「用於製造介電抗反射塗層的高密度電漿CVD 方法」專利案申覆書 (90年7月修正) Λ申請專利範圍 1. 一種使用高密度電漿CVD系統來製備含氮的基材之改良 方法,該其材選自於氧氮化矽、氮化矽及氮化鈦膜,特 徵在於防止當用爲介電抗反射塗層時的光阻污染,該方 法包括: 提供一容納晶圓的處理室,其真空度係足以使得在該 處理室的電漿發生區域中能用02當作氧源而沒有爆炸的 危險; 將一種選自於3丨^:4/02爪2或SiH4/02/N2/Ar的氣體混合 物導入處理室內;及 使處理室接受足夠頻率的RF電訊號而在該處理室的電 漿發生區域中產生高密度電漿,因此由該RF電訊號所產 生的高密度電漿來處理該晶圓。 2. 如申請專利範圍第1項之方法,其中該膜係氧氮化矽且 該氣體混合物係SiH4/02/N2。 3. 如申請專利範圍第1項之方法,其中該膜係氧氮化矽且 該氣體混合物係SiH2/02/N2/Ar。 4. 一種使用高密度電漿CVD系統來製備二氧化矽罩膜之改 良方法’其特徵在於防止當用爲介電抗反射塗層時的光 阻污染,該方法包括: 提供一容納晶圓的處理室,其真空度係足以使得在該 處理室的電漿發生區域中能用02當作氧源而沒有爆炸的 473555 危險; 產生一種選自於SilVO2或SiH4/02/Ar的氣體混合物; 使處理室接受足夠頻率的RF電訊號而在該處理室的電 漿發生區域中產生高密度電漿,因此由該RF電訊號所產 生的高密度電漿來處理該晶圓。 5. 如申請專利範圍第4項之方法,其中該膜係二氧化矽罩 膜且該氣體混合物係SiH4/02。 6. 如申請專利範圍第4項之方法,其中該膜係二氧化矽罩 膜且該氣體混合物係SiH4/02/Ar。 7. 如申if專利範圍第1項之方法,其中該足以能使用〇2而 不會有爆炸危險的真空度係0.1毫托至20毫托。 8. 如申請專利範圍第4項之方法,其中該足以能使用〇2當 作氧源而不會有爆炸危險的真空度係0.1毫托至20毫托。 9. 如申請專利範圍第2項之方法,其中以isccm至500sccm 的流速導入氣體混合物的SiH4成分。 10. 如申請專利範圍第3項之方法,其中以isccm至500sccm 的流速導入氣體混合物的SiH4成分。 11. 如申請專利範圍第1 0項之方法,其中 以 Osccm 至 500sccm 的流速導入Ar濺射成分。 12·如申請專利範圍第5項之方法,其中以 Isccm 至 5OOsccm 的流速導入氣體混合物的SiH4成分。 如申請專利範圍第6項之方法,其中以Osccm至500sccm 的流速導入Ar濺射成分。 -2-
TW088109768A 1998-06-15 1999-06-11 High density plasma CVD process for making dielectric anti-reflective coating TW473555B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/097,872 US6060132A (en) 1998-06-15 1998-06-15 High density plasma CVD process for making dielectric anti-reflective coatings

Publications (1)

Publication Number Publication Date
TW473555B true TW473555B (en) 2002-01-21

Family

ID=22265540

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088109768A TW473555B (en) 1998-06-15 1999-06-11 High density plasma CVD process for making dielectric anti-reflective coating

Country Status (6)

Country Link
US (1) US6060132A (zh)
EP (1) EP0965655A3 (zh)
JP (1) JP2000034565A (zh)
KR (1) KR20000005783A (zh)
CN (1) CN1156604C (zh)
TW (1) TW473555B (zh)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291363B1 (en) 1999-03-01 2001-09-18 Micron Technology, Inc. Surface treatment of DARC films to reduce defects in subsequent cap layers
US6521546B1 (en) 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6573196B1 (en) 2000-08-12 2003-06-03 Applied Materials Inc. Method of depositing organosilicate layers
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6500773B1 (en) 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US20030054117A1 (en) * 2001-02-02 2003-03-20 Brewer Science, Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US7132219B2 (en) * 2001-02-02 2006-11-07 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
KR100768175B1 (ko) * 2001-02-07 2007-10-17 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6410461B1 (en) * 2001-05-07 2002-06-25 Advanced Micro Devices, Inc. Method of depositing sion with reduced defects
US6498383B2 (en) * 2001-05-23 2002-12-24 International Business Machines Corporation Oxynitride shallow trench isolation and method of formation
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US7026172B2 (en) * 2001-10-22 2006-04-11 Promos Technologies, Inc. Reduced thickness variation in a material layer deposited in narrow and wide integrated circuit trenches
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
GB0130870D0 (en) * 2001-12-21 2002-02-06 Accentus Plc Solid-state antenna
US6713127B2 (en) * 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US6800566B2 (en) 2002-02-21 2004-10-05 Taiwan Semiconductor Manufacturing Company Adjustment of N and K values in a DARC film
US6989230B2 (en) * 2002-03-29 2006-01-24 Infineon Technologies Ag Producing low k inter-layer dielectric films using Si-containing resists
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
DE10218955B4 (de) * 2002-04-27 2004-09-09 Infineon Technologies Ag Verfahren zur Herstellung einer strukturierten Schicht auf einem Halbleitersubstrat
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7365014B2 (en) * 2004-01-30 2008-04-29 Applied Materials, Inc. Reticle fabrication using a removable hard mask
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7101787B1 (en) * 2004-04-09 2006-09-05 National Semiconductor Corporation System and method for minimizing increases in via resistance by applying a nitrogen plasma after a titanium liner deposition
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
CN100383934C (zh) * 2004-11-15 2008-04-23 旺宏电子股份有限公司 控制介电抗反射层特性的方法及介电抗反射层的制造方法
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
KR100641581B1 (ko) * 2005-12-29 2006-11-01 동부일렉트로닉스 주식회사 반도체 소자의 패시베이션층 형성 방법
US20110146787A1 (en) * 2008-05-28 2011-06-23 Sebastien Allen Silicon carbide-based antireflective coating
CN105154848A (zh) * 2015-08-10 2015-12-16 沈阳拓荆科技有限公司 氮氧硅薄膜的制备方法
US10208380B2 (en) 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
CN106783546A (zh) * 2016-12-26 2017-05-31 武汉新芯集成电路制造有限公司 一种darc薄膜的低温沉积方法
CN111235547B (zh) * 2020-04-27 2020-08-07 上海陛通半导体能源科技股份有限公司 化学气相沉积方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4510172A (en) * 1984-05-29 1985-04-09 International Business Machines Corporation Technique for thin insulator growth
US5260096A (en) * 1987-06-11 1993-11-09 Air Products And Chemicals, Inc. Structral articles
US5051308A (en) * 1987-08-24 1991-09-24 General Electric Company Abrasion-resistant plastic articles
US4927704A (en) * 1987-08-24 1990-05-22 General Electric Company Abrasion-resistant plastic articles and method for making them
US5424131A (en) * 1987-11-30 1995-06-13 Polyplasma, Inc. Barrier coatings on spacecraft materials
US5013690A (en) * 1990-02-01 1991-05-07 Air Products And Chemicals, Inc. Method for deposition of silicon films from azidosilane sources
KR930011413B1 (ko) * 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
US5156882A (en) * 1991-12-30 1992-10-20 General Electric Company Method of preparing UV absorbant and abrasion-resistant transparent plastic articles
JPH05275345A (ja) * 1992-03-30 1993-10-22 Nippon Sheet Glass Co Ltd プラズマcvd方法およびその装置
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5364666A (en) * 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
JP2630257B2 (ja) * 1994-06-03 1997-07-16 日本電気株式会社 半導体装置の製造方法
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
JPH09111460A (ja) * 1995-10-11 1997-04-28 Anelva Corp チタン系導電性薄膜の作製方法
US5897711A (en) * 1995-12-22 1999-04-27 Lam Research Corporation Method and apparatus for improving refractive index of dielectric films

Also Published As

Publication number Publication date
KR20000005783A (ko) 2000-01-25
CN1156604C (zh) 2004-07-07
US6060132A (en) 2000-05-09
JP2000034565A (ja) 2000-02-02
EP0965655A2 (en) 1999-12-22
EP0965655A3 (en) 2004-01-07
CN1240841A (zh) 2000-01-12

Similar Documents

Publication Publication Date Title
TW473555B (en) High density plasma CVD process for making dielectric anti-reflective coating
US6670284B2 (en) Method of decontaminating process chambers, methods of reducing defects in anti-reflective coatings, and resulting semiconductor structures
US6884318B2 (en) Plasma processing system and surface processing method
EP0930376B1 (en) Method of processing substrate
KR101564473B1 (ko) 텅스턴 함유층에 대한 에칭 마이크로로딩을 제어하는 방법
US20050005854A1 (en) Surface wave plasma treatment apparatus using multi-slot antenna
CN100477135C (zh) 一种在沟槽蚀刻中降低线条边缘粗糙度的方法及其半导体器件
US6677549B2 (en) Plasma processing apparatus having permeable window covered with light shielding film
TW201903899A (zh) 圖案化低介電常數介電膜之方法
JP4861987B2 (ja) 膜スタックをエッチングするための方法およびシステム
KR20140009169A (ko) 포토레지스트 재료들 및 하드마스크 전구체들의 원자층 증착
Beshkov et al. IR and Raman absorption spectroscopic studies of APCVD, LPCVD and PECVD thin SiN films
JP2008059991A (ja) プラズマ処理装置及びプラズマ処理方法
JP4594235B2 (ja) Arc層をエッチングする方法
KR20060109429A (ko) 증착된 유전체막 위에 후현상 포토레지스트 프로파일을향상시키는 방법
JP4903567B2 (ja) 調整可能な光学的性質およびエッチング特性を有する材料を堆積させる方法と装置。
TW202230469A (zh) 用於微影應用之光阻層上碳的選擇性沉積
TW388079B (en) Film growth pretreatment method and manufacture of semiconductor device
JPH07273023A (ja) フォトレジストの塗布方法
TW498436B (en) Apparatus of processing a sample surface and surface processing method
JP2006522480A (ja) 多層フォトレジストのドライ現像のための方法及び装置
JP2002164330A (ja) 遮光膜で被覆された透過窓を有するプラズマ処理装置
TW396451B (en) Apparatus for improving etch uniformity and methods therefor
JP2008507137A (ja) 低誘電体のエッチング
JPH07153595A (ja) 有磁場誘導結合プラズマ処理装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees