JP2000034565A - 窒素含有基板及び二酸化シリコンキャップフィルムの製造方法 - Google Patents

窒素含有基板及び二酸化シリコンキャップフィルムの製造方法

Info

Publication number
JP2000034565A
JP2000034565A JP11165620A JP16562099A JP2000034565A JP 2000034565 A JP2000034565 A JP 2000034565A JP 11165620 A JP11165620 A JP 11165620A JP 16562099 A JP16562099 A JP 16562099A JP 2000034565 A JP2000034565 A JP 2000034565A
Authority
JP
Japan
Prior art keywords
sih
sccm
process chamber
film
gas mixture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP11165620A
Other languages
English (en)
Inventor
Gill Young Lee
ヨン リー ジル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Publication of JP2000034565A publication Critical patent/JP2000034565A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

(57)【要約】 【課題】 高密度プラズマCVDシステムを使用して、
レジスト汚染を防止する窒素含有基板を製造する改善さ
れた方法を提供する。 【解決手段】 十分な真空内にウエーハを保持するプロ
セスチャンバを設け、プロセスチャンバ内にSiH4
2/N2又はSiH4/O2/N2/Arからなる群から
選択されたガス混合物を導入し、かつ前記のプロセスチ
ャンバのプラズマ発生領域に高密度プラズマを発せさせ
るために十分な周波数のRF電気信号にプロセスチャン
バを曝し、それにより前記のRF電気信号によって発生
される高密度プラズマを結果として生じることによって
前記のウエーハを処理する。 【解決手段】 プロセスチャンバのプラズマ発生領域に
おける爆発の危険なしに、O2を酸素源として使用する
ことができる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、高密度プラズマ
(HDP)を使用した結果として、これらの化学的に増
強されたフォトレジストがアミノ基又はダングリングボ
ンドから汚染されないように、オキシ窒化シリコン及び
二酸化シリコンを堆積させる方法に関する。一般に、本
発明は、通常の圧力範囲より低い圧力及び大きな電力で
高密度プラズマ(HDP)CVDシステムを使用して、
オキシ窒化シリコンDARC及び二酸化シリコンを堆積
させることによって達成され、それによりDARCの酸
素源としてO2ガスの使用を可能にする。
【0002】
【従来の技術】オキシ窒化シリコンDARCは、DUV
リソグラグラフィーに広く使用され、かつとくにその同
調可能な屈折率及びレジストに対する高いエッチング選
択度のため、リソグラフのプロセスウインドウを改善す
るためにとくに魅力的である。レジスト汚染は、オキシ
窒化シリコンフィルムの使用又は適用における大きな関
心事の1つであり;とくに酸触媒の中性化及びレジスト
のその部分を現像液に不溶解性にすることによって化学
的に増強されたレジストを汚染するものとして周知のア
ミノ基の存在のため、大きな関心事の1つである。
【0003】化学的に増強されたフォトレジストの結像
機構は、放射から光子を受取り、化学反応に触媒作用す
るために酸を発生する光酸発生体である。このことは、
現像液によって除去できるレジスト溶解性を変化する。
露光内に発生される触媒酸は、化学的に増強されたレジ
ストのために必須のものである。あらゆる環境汚染、又
はその他の酸欠乏プロセス又は基板汚染効果は、異状な
レジストプロファイルを引起こすことがある。
【0004】化学的に増強されたポジティブフォトレジ
ストは、それ自体、オキシ窒化シリコン、窒化シリコン
及び窒化チタンのような窒素を含む基板上に“フット
(foot)”として存在する傾向を有する。汚染の量も、
レジストの化学に著しく依存する。
【0005】オキシ窒化シリコンからのレジスト汚染を
防止する典型的な方法は、追加的な二酸化シリコンを堆
積させること、及び/又はオキシ窒化シリコンの表面を
酸化するプラズマ方法を行なうことにある。この方法に
おいてRF−PECVD(プラズマ増速化学気相成長)
は、真空システムにおいて操作され、かつこのシステム
における圧力の範囲は、1トルから20トルまでにあ
る。通常のDARCフィルムは、ほぼ1.5トル〜10
トルの圧力範囲において堆積される。この圧力範囲にお
いて、O2ガスは、爆発の危険のために使用することが
できない。したがって、通常オキシ窒化シリコン及び二
酸化シリコン両方の酸素源として、N2Oが使用され
る。換言すれば、障壁層として追加的な二酸化シリコン
フィルムが存在するにもかかわらず、この層はN2O反
応ガスからの窒素及び/又はアミノ基を含むことがあ
る。基板フィルムにおける窒素含有量に対する化学的に
増強されたフォトレジストの感度に依存して、表面にお
ける極端にわずかな量のアミノ基又はダングリングボン
ドは、図1に示すようなフォトレジスト汚染を引起こす
ことがある。
【0006】米国特許第5,614,055号明細書は、
高密度プラズマCVD及びエッチング反応器を開示して
いる。とくに図6及び8の実施例のプラズマ反応器は、
あらゆる薄いフィルムの堆積を行なうときに使用され
る。第10欄、第16〜23行において、これらの反応
器は、とくにシランのような高度に反応的な化学的先駆
物質種を使用するフィルムのあらゆる堆積にとって有用
であることが示されている。さらに、前記の特許のこの
部分において、反応器はダイヤモンドのような二酸化シ
リコン以外の薄いフィルムのために使用することがで
き、かつ大きな誘電率を有する材料の堆積が、なおこれ
らの実施例により実施できることが開示されている。
【0007】前記の′055特許のプラズマ法は、次の
ようにして達成される:すなわち処理すべき加工片を保
持しかつその一方の側に少なくとも部分的にプラズマ発
生領域を囲む実質的にドーム形の部分と、前記の実質的
にドーム形の部分の下にある垂直円筒形部分とからなる
アンテナを有する真空処理チャンバを設け;前記のプロ
セスチャンバ内に電気的陰性のガスを含むプロセスガス
を供給し;前記のアンテナにRF電気信号を共振結合
し;かつ前記のプロセスガスから前記の処理チャンバの
前記のプラズマ発生領域内に形成されるプラズマ内に前
記のアンテナから電磁エネルギーを非共振及び誘導結合
し、それにより前記の加工片を前記のプラズマによって
処理する。
【0008】′055特許のプラズマ方法において、電
気的陰性のガスはハロゲンからなることができ、かつプ
ロセスガスは追加的に二酸化シリコンのための先駆物質
ガスからなることができる。
【0009】プラズマCVD方法によりフィルムを形成
するプラズマ処理方法は、磁界の存在下に高密度プラズ
マを発生させる米国特許第5,626,922号明細書に
開示されている。
【0010】前記の′922特許の第2欄、第63行な
いし第3欄、第15行に、0.03〜30トルの範囲の
程度の圧力で高密度プラズマを発生させかつ維持するた
めに、ECRを低真空で円柱形の空間内において発生さ
せることが示されている。その後、ガス、液体又は固体
を円柱形の空間内に導入し、通常のECR−CVD方法
において通常使用されるガス濃度より高い高度に濃縮さ
れた生成物を有する空間が得られるように、高い圧力に
維持されるプラズマを発生させる。
【0011】直前の段落における開示は、得られたフィ
ルムが、炭素フィルム、i炭素、DLC及び絶縁セラミ
ック、金属フィルム、及びとくに高い溶融点を有する金
属のフィルムを含むことを示している。
【0012】米国特許第5,721,021号明細書は、
高密度プラズマCVDを使用するチタン含有導体薄膜を
堆積させる方法を開示している。とくに低圧高密度プラ
ズマは、ほぼ30nm/minの速度でチタン窒化物フ
ィルムを堆積させるために、2.5kWの高周波電力の
出力によって発生させる。結果として生じる窒化チタン
フィルムは、1%以下の塩素含有量、金属光沢及び低い
抵抗を有する。
【0013】したがってオキシ窒化シリコンDARCを
製造する従来の方法の1つの重要な欠点は、レジストの
汚染の存在であり、かつこのレジスト汚染は、オキシ窒
化シリコンの表面を酸化するために追加的な二酸化シリ
コン及び/又はプラズマ処理を使用するにもかかわら
ず、そのまま残る。
【0014】オキシ窒化シリコンDARCを製造する従
来の技術の方法の別の欠点は、RF−PECVDを使用
するときに、該方法を真空装置内で1トル〜20トルの
圧力範囲において進行させなければならず、もっとも典
型的にはDARCフィルムの堆積は、ほぼ1.5トル〜
10トルの圧力範囲において行われるが、この圧力範囲
において、O2ガスは爆発の危険のために使用できな
い。
【0015】オキシ窒化シリコンDARCを製造する従
来の方法の別の欠点は、爆発の危険のためにO2が使用
できないので、オキシ窒化シリコン及び二酸化シリコン
両方のために酸素源としてN2Oガスが使用されるが、
障壁層として追加的な二酸化シリコンフィルムを使用す
るときに、N2Oガスの使用は該方法をN2O反応ガスか
らの窒素及び/又はアミノ基を含有する危険にさらす。
それ故に、基板フィルムにおける窒素含有量に対する化
学的に増強されたフォトレジストの感度に依存して、表
面におけるきわめてわずかな量のアミノ基又はダングリ
ングボンドは、オキシ窒化シリコン、窒化シリコン及び
窒化チタンのような、窒素含有基板上の“フット”によ
り立証されるように、フォトレジスト汚染を引起こす傾
向を有する。
【0016】
【発明が解決しようとする課題】本発明の1つの課題
は、高密度プラズマCVDシステムを使用して誘電体反
射防止コーティングのためにオキシ窒化シリコンフィル
ム及び二酸化シリコンキャップフィルムを製造する方法
を提供することにある。
【0017】本発明の別の課題は、オキシ窒化シリコン
を堆積させるための化学物質が任意の比のSiH4/O2
/N2又はSiH4/O2/N2/Arである、高密度プラ
ズマCVDシステム使用して誘電体反射防止コーティン
グのためのオキシ窒化シリコンフィルムを製造する方法
を提供することにある。
【0018】本発明の別の課題は、二酸化シリコンの追
加的な障壁層を堆積するための化学品が任意の比のSi
4/O2又はSiH4/O2/Arである、高密度プラズ
マCVDシステムを使用して誘電体反射防止コーティン
グのためのオキシ窒化シリコンフィルム及び二酸化シリ
コンキャップフィルムを製造する方法を提供することに
ある。
【0019】さらに本発明の別の課題は、ほぼ50ワッ
トとほぼ5000ワットとの間の範囲のソース電力のた
めに任意の周波数の及び任意の組合せのRF電力を使用
することができる、高密度プラズマCVDシステムを使
用して誘電体反射防止コーティングのためのオキシ窒化
シリコンフィルム及び二酸化シリコンキャップフィルム
を製造する方法を提供することにある。
【0020】本発明のさらに別の課題は、0ワットから
ほぼ4000ワットまでの範囲のArスパッタリングの
ためのバイアス電力のために任意の周波数の及び任意の
組合せのRF電力を使用することができる、高密度プラ
ズマCVDシステムを使用して誘電体反射防止コーティ
ングのためのオキシ窒化シリコンフィルム及び二酸化シ
リコンキャップフィルムを製造する方法を提供すること
にある。
【0021】本発明の別の課題は、HDP−CVDチャ
ンバ内の圧力がほぼ0.1ミリトルとほぼ20ミリトル
の間にある、高密度プラズマCVDシステムを使用して
誘電体反射防止コーティングのためのオキシ窒化シリコ
ンフィルム及び二酸化シリコンキャップフィルムを製造
する方法を提供することにある。
【0022】本発明のさらに別の課題は、堆積プロセス
の間のSiH4の流速がほぼ1sccmとほぼ500s
ccmの間の範囲でよく、かつ堆積の間のO2流速もほ
ぼ1sccmとほぼ500sccmの間の範囲でよい、
誘電体反射防止コーティングのためのオキシ窒化シリコ
ンフィルム及び二酸化シリコンキャップフィルムを製造
する方法を提供することにある。
【0023】さらに、本発明の別の課題は、堆積プロセ
スの間のArの流速がほぼ0sccmとほぼ500sc
cmの間の範囲でよく、ほぼ0トルからほぼ30トルま
での範囲において裏側を冷却するためにHeガスを使用
することができ、かつ静電チャック電力が0Vと200
0Vの間の範囲でよい、高密度プラズマCVDシステム
を使用して誘電体反射防止コーティングのためのオキシ
窒化シリコンフィルム及び二酸化シリコンキャップフィ
ルムを製造する方法を提供することにある。
【0024】
【課題を解決するための手段】一般的に、本発明の課題
は、DARCの酸素源としてO2ガスの使用を可能にす
る低圧範囲及び大電力において高密度プラズマ(HD
P)CVDシステムを使用してオキシ窒化シリコンDA
RC及び二酸化シリコンを堆積させることによって解決
される。HDP−CVD方法は、堆積部分のためのソー
スプラズマ発生のため及びArガスを使用するスパッタ
リング部分のためのバイアス電力のために、少なくとも
2つの異なった電力を使用する。該方法は数ミリトルの
範囲において操作されそれによりSiH4ガスとともに
2ガスの使用を可能にする。このことは、その低圧範
囲、大電力及び現場スパッタリングのため、高品質のフ
ィルムを提供する。オキシ窒化シリコンはSiH4/O2
/N2を使用して堆積させ、かつ追加的な障壁層のため
の二酸化シリコンは窒素成分を含まないSiH4/O2
使用して堆積させる。本発明による方法にしたがって製
造された化学的に増強されたフォトレジストは、HDP
酸化物フィルムからのいかなる汚染も生じない。
【0025】
【発明の効果】本発明は、低圧範囲及び大電力範囲にお
いて高密度プラズマ(HDP)CVDを使用してオキシ
窒化シリコンDARC及び二酸化シリコンを堆積させ、
それによりDARCの酸素源としてO2ガスの使用を可
能にする。
【0026】本発明のHDP−CVD方法は、堆積部分
のためのソースプラズマ発生のため及びArガスを使用
するスパッタリング部分のためのバイアス電力におい
て、少なくとも2つの異なった電力を使用する。本発明
による方法は、数ミリトルの範囲において操作すること
によって、SiH4ガスとともにO2ガスの使用を可能に
する。本発明のHDV−CVD方法は、低圧範囲、大電
力範囲及び現場スパッタリングの使用に基づき、高品質
のフィルムも提供する。
【0027】本発明による方法では、オキシ窒化シリコ
ンは任意の比のSiH4/O2/N2又はSiH4/O2
2/Arを使用して堆積させ、かつ二酸化シリコンは
窒素成分を含まない任意の比のSiH4/O2又はSiH
4/O2/Arを使用して追加的な障壁層として堆積させ
る。本発明による方法の結果として、化学的に増強され
たフォトレジストはHDP酸化物からいかなる汚染も受
けない。
【0028】本発明による方法の重要な特徴は、HDP
オキシ窒化シリコンが通常のPECVDフィルムより高
密度のかつ清潔なフィルムなので、二酸化シリコンキャ
ップ層なしで実施することができるという点にある。
【0029】
【実施例】例 真空反応器チャンバ内で、高密度プラズマ(HDP)C
VD方法を使用してオキシ窒化シリコンDARC及び二
酸化シリコンを堆積させ、かつ任意の周波数及び任意の
組合せのRF電力を、50ワット及びほぼ5000ワッ
トの間の範囲におけるソース電力ために、かつほぼ0.
1ミリトルとほぼ20ミリトルの間の真空チャンバ内の
低圧範囲において使用する。Arスパッタリングのため
のバイアス電力は、0ワットとほぼ4000ワットとの
範囲の間にあり、かつO2ガスをDARCの酸素源とし
て使用する。堆積の間のO2ガスの流速はほぼ1scc
mとほぼ500sccmの間にあり、かつ堆積の間のN
2の流速はほぼ0sccmとほぼ500sccmの間に
ある。
【0030】本発明の1つの実施例において、オキシ窒
化シリコンを堆積させる場合には、SiH4/O2/N2
化学物質を使用し、かつ得られる高密度プラズマ(HD
P)オキシ窒化シリコンフィルムは、通常のフィルムよ
り高密度かつ清潔である。このことは極めて有利であ
る。それというのも、HDPオキシ窒化シリコンは二酸
化シリコンキャップ層なしで使用することができるから
である。
【0031】しかしながら、SiH4/O2/N2混合物
を使用してオキシ窒化シリコンを堆積させる場合には、
二酸化シリコンを、窒素成分を含まないSiH4/O2
堆積させることにより追加的な障壁層として使用するこ
とができる。
【0032】図1〜6は、一般的にオキシ窒化シリコン
DARC層上における“フッティング(footing)”又
は汚染を示すフォトレジストプロファイルの写真を示し
ており、その際、とくに図1は、キャップ及びプラズマ
なしを示し;図2は、100Aにおけるオキシ窒化シリ
コン+キャップ酸化物を示し;図3は、200Aにおけ
るオキシ窒化シリコン+キャップ酸化物を示し;図4
は、320Aにおけるオキシ窒化シリコン+キャップ酸
化物を示し;図5は、120Wプラズマ処理の後の32
0Aにおけるオキシ窒化シリコン+キャップオキシ窒化
物を示し;かつ図6は、1kWプラズマ処理の後の32
0Aにおけるオキシ窒化シリコン+キャップオキシニト
ライドを示している。
【0033】図7は、本発明による方法にしたがって製
造された化学的に増強されたフォトレジストの写真であ
り、その際、“フッティング”なしにより示されるよう
に800AにおけるHDP酸化物フィルムからの汚染は
存在しない。
【図面の簡単な説明】
【図1】キャップ及びプラズマなしの場合の、一般的に
オキシ窒化シリコンDARC層上における“フッティン
グ”又は汚染を示すフォトレジストプロファイルの写真
である。
【図2】100Aにおけるオキシ窒化シリコン+キャッ
プ酸化物を示す、図1に相応する写真である。
【図3】200Aにおけるオキシ窒化シリコン+キャッ
プ酸化物を示す、図1に相応する写真である。
【図4】320Aにおけるオキシ窒化シリコン+キャッ
プ酸化物を示す、図1に相応する写真である。
【図5】120Wプラズマ処理の後の320Aにおける
オキシ窒化シリコン+キャップオキシ窒化物を示す、図
1に相応する写真である。
【図6】1kWプラズマ処理の後の320Aにおけるオ
キシ窒化シリコン+キャップオキシニトライドを示す、
図1に相応する写真である。
【図7】本発明による方法にしたがって製造された化学
的に増強されたフォトレジストの写真である。

Claims (13)

    【特許請求の範囲】
  1. 【請求項1】 高密度プラズマCVDシステムを使用し
    て、誘電体反射防止コーティングとして使用するとレジ
    スト汚染を防止することにより特徴付けられる、オキシ
    窒化シリコン、窒化シリコン及び窒化チタンフィルムか
    らなる群から選択された窒素含有基板を製造する改善さ
    れた方法において、プロセスチャンバのプラズマ発生領
    域における爆発の危険なしに、O2を酸素源として使用
    することを可能にするために十分な真空内にウエーハを
    保持するプロセスチャンバを設け;プロセスチャンバ内
    にSiH4/O2/N2又はSiH4/O2/N2/Arから
    なる群から選択されたガス混合物を導入し;かつ前記の
    プロセスチャンバのプラズマ発生領域に高密度プラズマ
    を発生させるために十分な周波数のRF電気信号にプロ
    セスチャンバを曝し、それにより前記のRF電気信号に
    よって発生される高密度プラズマを結果として生じるこ
    とによって前記のウエーハを処理することを特徴とす
    る、窒素含有基板の製造方法。
  2. 【請求項2】 前記のフィルムがオキシ窒化シリコンで
    あり、かつ前記のガス混合物がSiH4/O2/N2であ
    る、請求項1記載の方法。
  3. 【請求項3】 前記のフィルムがオキシ窒化シリコンで
    あり、かつ前記のガス混合物がSiH4/O2/N2/A
    rである、請求項1記載の方法。
  4. 【請求項4】 高密度プラズマCVDシステムを使用し
    て、誘電体反射防止コーティングとして使用するとレジ
    スト汚染を防止することにより特徴付けられる二酸化シ
    リコンキャップフィルムを製造する改善された方法にお
    いて、プロセスチャンバのプラズマ発生領域における爆
    発の危険なしに、O2を酸素源として使用することを可
    能にするために十分な真空内にウエーハを保持するプロ
    セスチャンバを設け;SiH4/O2又はSiH4/O2
    Arからなる群から選択されたガス混合物を製造し;前
    記のプロセスチャンバのプラズマ発生領域に高密度プラ
    ズマを発生させるために十分な周波数のRF電気信号に
    プロセスチャンバを曝し、それにより前記のRF電気信
    号によって発生される高密度プラズマを結果として生じ
    ることによって前記のウエーハを処理することを特徴と
    する、二酸化シリコンキャップフィルムの製造方法。
  5. 【請求項5】 前記のフィルムが二酸化シリコンキャッ
    プフィルムであり、かつ前記のガス混合物がSiH4
    2である、請求項4記載の方法。
  6. 【請求項6】 前記のフィルムが二酸化シリコンキャッ
    プフィルムであり、かつ前記のガス混合物がSiH4
    2/Arである、請求項4記載の方法。
  7. 【請求項7】 爆発の危険なくO2を使用できるように
    するために十分な前記の真空が、0.1ミリトルから2
    0ミリトルまでにある、請求項1記載の方法。
  8. 【請求項8】 爆発の危険なくO2を使用できるように
    するために十分な前記の真空が、0.1ミリトルから2
    0ミリトルまでにある、請求項4記載の方法。
  9. 【請求項9】 ガス混合物のSiH4成分を1sccm
    〜500sccmの間の流速で導入する、請求項2記載
    の方法。
  10. 【請求項10】 ガス混合物のSiH4成分を1scc
    m〜500sccmの間の流速で導入する、請求項3記
    載の方法。
  11. 【請求項11】 Arスパッタリング成分を0sccm
    〜500sccmの間の流速で導入する、請求項10記
    載の方法。
  12. 【請求項12】 ガス混合物のSiH4成分を1scc
    m〜500sccmの間の流速で導入する、請求項5記
    載の方法。
  13. 【請求項13】 Arスパッタリング成分を0sccm
    〜500sccmの間の流速で導入する、請求項6記載
    の方法。
JP11165620A 1998-06-15 1999-06-11 窒素含有基板及び二酸化シリコンキャップフィルムの製造方法 Withdrawn JP2000034565A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/097,872 US6060132A (en) 1998-06-15 1998-06-15 High density plasma CVD process for making dielectric anti-reflective coatings
US09/097872 1998-06-15

Publications (1)

Publication Number Publication Date
JP2000034565A true JP2000034565A (ja) 2000-02-02

Family

ID=22265540

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11165620A Withdrawn JP2000034565A (ja) 1998-06-15 1999-06-11 窒素含有基板及び二酸化シリコンキャップフィルムの製造方法

Country Status (6)

Country Link
US (1) US6060132A (ja)
EP (1) EP0965655A3 (ja)
JP (1) JP2000034565A (ja)
KR (1) KR20000005783A (ja)
CN (1) CN1156604C (ja)
TW (1) TW473555B (ja)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291363B1 (en) 1999-03-01 2001-09-18 Micron Technology, Inc. Surface treatment of DARC films to reduce defects in subsequent cap layers
US6521546B1 (en) 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6573196B1 (en) 2000-08-12 2003-06-03 Applied Materials Inc. Method of depositing organosilicate layers
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6500773B1 (en) 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US20030054117A1 (en) * 2001-02-02 2003-03-20 Brewer Science, Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US7132219B2 (en) * 2001-02-02 2006-11-07 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
KR100768175B1 (ko) * 2001-02-07 2007-10-17 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6410461B1 (en) * 2001-05-07 2002-06-25 Advanced Micro Devices, Inc. Method of depositing sion with reduced defects
US6498383B2 (en) * 2001-05-23 2002-12-24 International Business Machines Corporation Oxynitride shallow trench isolation and method of formation
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US7026172B2 (en) * 2001-10-22 2006-04-11 Promos Technologies, Inc. Reduced thickness variation in a material layer deposited in narrow and wide integrated circuit trenches
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
GB0130870D0 (en) * 2001-12-21 2002-02-06 Accentus Plc Solid-state antenna
US6713127B2 (en) * 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US6800566B2 (en) 2002-02-21 2004-10-05 Taiwan Semiconductor Manufacturing Company Adjustment of N and K values in a DARC film
US6989230B2 (en) * 2002-03-29 2006-01-24 Infineon Technologies Ag Producing low k inter-layer dielectric films using Si-containing resists
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
DE10218955B4 (de) * 2002-04-27 2004-09-09 Infineon Technologies Ag Verfahren zur Herstellung einer strukturierten Schicht auf einem Halbleitersubstrat
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7365014B2 (en) * 2004-01-30 2008-04-29 Applied Materials, Inc. Reticle fabrication using a removable hard mask
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7101787B1 (en) * 2004-04-09 2006-09-05 National Semiconductor Corporation System and method for minimizing increases in via resistance by applying a nitrogen plasma after a titanium liner deposition
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
CN100383934C (zh) * 2004-11-15 2008-04-23 旺宏电子股份有限公司 控制介电抗反射层特性的方法及介电抗反射层的制造方法
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
KR100641581B1 (ko) * 2005-12-29 2006-11-01 동부일렉트로닉스 주식회사 반도체 소자의 패시베이션층 형성 방법
US20110146787A1 (en) * 2008-05-28 2011-06-23 Sebastien Allen Silicon carbide-based antireflective coating
CN105154848A (zh) * 2015-08-10 2015-12-16 沈阳拓荆科技有限公司 氮氧硅薄膜的制备方法
US10208380B2 (en) 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
CN106783546A (zh) * 2016-12-26 2017-05-31 武汉新芯集成电路制造有限公司 一种darc薄膜的低温沉积方法
CN111235547B (zh) * 2020-04-27 2020-08-07 上海陛通半导体能源科技股份有限公司 化学气相沉积方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4510172A (en) * 1984-05-29 1985-04-09 International Business Machines Corporation Technique for thin insulator growth
US5260096A (en) * 1987-06-11 1993-11-09 Air Products And Chemicals, Inc. Structral articles
US5051308A (en) * 1987-08-24 1991-09-24 General Electric Company Abrasion-resistant plastic articles
US4927704A (en) * 1987-08-24 1990-05-22 General Electric Company Abrasion-resistant plastic articles and method for making them
US5424131A (en) * 1987-11-30 1995-06-13 Polyplasma, Inc. Barrier coatings on spacecraft materials
US5013690A (en) * 1990-02-01 1991-05-07 Air Products And Chemicals, Inc. Method for deposition of silicon films from azidosilane sources
KR930011413B1 (ko) * 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
US5156882A (en) * 1991-12-30 1992-10-20 General Electric Company Method of preparing UV absorbant and abrasion-resistant transparent plastic articles
JPH05275345A (ja) * 1992-03-30 1993-10-22 Nippon Sheet Glass Co Ltd プラズマcvd方法およびその装置
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5364666A (en) * 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
JP2630257B2 (ja) * 1994-06-03 1997-07-16 日本電気株式会社 半導体装置の製造方法
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
JPH09111460A (ja) * 1995-10-11 1997-04-28 Anelva Corp チタン系導電性薄膜の作製方法
US5897711A (en) * 1995-12-22 1999-04-27 Lam Research Corporation Method and apparatus for improving refractive index of dielectric films

Also Published As

Publication number Publication date
TW473555B (en) 2002-01-21
KR20000005783A (ko) 2000-01-25
CN1156604C (zh) 2004-07-07
US6060132A (en) 2000-05-09
EP0965655A2 (en) 1999-12-22
EP0965655A3 (en) 2004-01-07
CN1240841A (zh) 2000-01-12

Similar Documents

Publication Publication Date Title
JP2000034565A (ja) 窒素含有基板及び二酸化シリコンキャップフィルムの製造方法
US20190013211A1 (en) Tantalum-containing material removal
US7582555B1 (en) CVD flowable gap fill
US6297175B1 (en) Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US8465903B2 (en) Radiation patternable CVD film
US6110836A (en) Reactive plasma etch cleaning of high aspect ratio openings
US7642195B2 (en) Hydrogen treatment to improve photoresist adhesion and rework consistency
US5811357A (en) Process of etching an oxide layer
US20070207275A1 (en) Enhancement of remote plasma source clean for dielectric films
US7479457B2 (en) Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
TW201419416A (zh) 圖案化低介電常數介電膜之方法
JP2007103942A (ja) 炭素系ハードマスクを開く方法
JP2012142574A (ja) 金属酸化物のハードマスクの形成方法
KR20020062367A (ko) 플라즈마 반응 챔버의 세정 및 조절 방법
WO2013066667A1 (en) Dry etch processes
WO2019054490A1 (ja) 酸ハロゲン化物を用いた原子層エッチング
US6897120B2 (en) Method of forming integrated circuitry and method of forming shallow trench isolation in a semiconductor substrate
US7371436B2 (en) Method and apparatus for depositing materials with tunable optical properties and etching characteristics
JPH1098029A (ja) 基板から有機反射防止膜をエッチングする処理法
CN111819669A (zh) 形成气隙的系统及方法
US6716740B2 (en) Method for depositing silicon oxide incorporating an outgassing step
US20080102553A1 (en) Stabilizing an opened carbon hardmask
JP3497848B2 (ja) 反射防止膜の形成方法及び装置並びに反射防止膜
US6528341B1 (en) Method of forming a sion antireflection film which is noncontaminating with respect to deep-uv photoresists
TWI837338B (zh) 基板處理方法及基板處理裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060306

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20080218