KR20000005783A - 유전성반사방지코팅을제조하기위한고밀도플라즈마cvd법 - Google Patents

유전성반사방지코팅을제조하기위한고밀도플라즈마cvd법 Download PDF

Info

Publication number
KR20000005783A
KR20000005783A KR1019990019943A KR19990019943A KR20000005783A KR 20000005783 A KR20000005783 A KR 20000005783A KR 1019990019943 A KR1019990019943 A KR 1019990019943A KR 19990019943 A KR19990019943 A KR 19990019943A KR 20000005783 A KR20000005783 A KR 20000005783A
Authority
KR
South Korea
Prior art keywords
sih
density plasma
high density
processing chamber
gas mixture
Prior art date
Application number
KR1019990019943A
Other languages
English (en)
Inventor
이길영
Original Assignee
칼 하인쯔 호르닝어
지멘스 악티엔게젤샤프트
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 칼 하인쯔 호르닝어, 지멘스 악티엔게젤샤프트 filed Critical 칼 하인쯔 호르닝어
Publication of KR20000005783A publication Critical patent/KR20000005783A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본원 발명은 고밀도 플라즈마 CVD 시스템을 사용한, 유전성 반사 방지 코팅으로서 사용될때 레지스트 오염을 방지하는 실리콘 산질화물, 실리콘 질화물 및 티타늄 질화물 막으로 이루어지는 그룹으로부터 선택된 질소 함유 기판 형성 방법에 관한 것으로, 플라즈마 발생 영역에서의 폭발 위험성없이 산소원으로서 O2가 사용될 수 있기에 충분한 진공상태에서 웨이퍼를 홀딩하는 처리 챔버를 제공하며; 상기 처리 챔버내로 SiH4/O2/N2또는 SiH4/O2/N2/Ar로 이루어진 그룹으로부터 선택된 가스 혼합물을 유입하며; 상기 처리 챔버의 플라즈마 발생 영역에서 고밀도 플라즈마를 형성하기에 충분한 주파수의 RF 전기 신호를 상기 처리 챔버에 공급함으로써, 상기 웨이퍼가 상기 RF 전기 신호에 의해 발생된 고밀도 플라즈마로 처리되는 것을 특징으로 한다.

Description

유전성 반사 방지 코팅을 제조하기 위한 고밀도 플라즈마 CVD법 {HIGH DENSITY PLASMA CVD PROCESS FOR MAKING DIELECTRIC ANTI-REFLECTIVE COATINGS}
본 발명은 화학적으로 증진된 포토레지스트가 고밀도 플라즈마(HDP : high density plasma)의 사용 결과로서 아민 그룹 또는 댕글링 본드로부터 오염되지않는 식으로 실리콘 산질화물과 실리콘 이산화물을 증착하는 방법에 관한 것이다. 일반적으로, 본 발명은 고밀도 플라즈마(HDP) CVD 시스템을 사용하여 통상의 압력 범위보다 더 낮고 더 높은 파워에서 실리콘 산질화물 DARC와 실리콘 이산화물을 증착함으로써 달성되며, 그결과 DARC의 산소원으로서 O2가스의 사용을 허용한다.
실리콘 산질화물 DARC는 DUV 리소그래픽 공정에 광범위하게 사용되어왔고, 특히 레지스트에 대한 그것의 조화된 굴절 지수와 높은 에칭 선택비 때문에 리소그래픽 공정 윈도우를 개선하는데 유리하다. 레지스트 오염은 실리콘 산질화물막의 사용 또는 응용에서 가장 큰 관심거리중 하나인데, 특히 촉매 산을 중화시킴으로써 화학적으로 증진된 레지스트를 오염시켜 레지스트의 일부가 현상액에서 용해되지 못하도록 하는 것으로 알려진 아민 라디컬의 존재때문이다.
화학적으로 증진된 포토레지스트의 이미징 메커니즘은 화학적 반응을 촉진시키는 산을 발생시키는 방사선으로부터의 광자를 수용하는 포토-산(photo-acid) 생성원이다. 이것은 레지스트 용해성을 변화시켜 현상액으로 제거될 수 있게 한다. 노광으로 발생된 촉매 산은 화학적으로 증진된 레지스트에 중요하다. 어떤 주위 오염, 또는 다른 산 소모 과정 또는 기판 오염 현상은 비정상의 레지스트 프로파일을 초래할 수 있다.
화학적으로 증진된 포지티브 레지스트는 그들 자신이 질소 함유된 기판에 걸쳐 실리콘 산질화물, 실리콘 질화물, 및 티타늄 질화물과 같은 "푸팅"으로서 존재하려는 경향이 있다. 또한 오염물의 양은 레지스트의 화학적 성질에 강하게 의존한다.
실리콘 산질화물로부터의 레지스트 오염을 방지하는 전형적인 방법은 부가적실리콘 이산화물을 증착하거나 또는 실리콘 산질화물의 표면을 산화시키기 위하여 플라즈마 처리하는 것이다. 상기 방법에서, RF PECVD(플라즈마 화학 기상 증착)가 진공 시스템에서 사용되며, 이런 시스템의 압력 범위는 1 내지 20torr이다. 종래 DARC막은 1.5 내지 10torr 압력 범위 정도에서 증착된다. 이런 압력 범위에서, O2가스는 폭발 위험성 때문에 사용될 수 없다. 그러므로, N2O가스가 통상적으로 실리콘 산질화물과 실리콘 이산화물 둘다의 산소원으로서 사용된다. 다른 방식의 배치, 즉 배리어 층으로서 부가적 실리콘 이산화물막이 있더라도, 이런 층은 N2O 반응 가스로부터의 질소 및/또는 아민 그룹을 포함할 수 있다. 기판막내의 질소 함유량에 대한 화학적으로 증진된 포토레지스트의 민감도에 의존하여, 기판 표면에서의 극도로 작은 양의 아민 그룹 또는 댕글링 본드가 도 1에 도시된 바와 같은 포토레지스트 오염을 초래할 수 있다.
미국 특허 제5,614,055호는 고밀도 플라즈마 CVD 및 에칭 반응기를 개시한다. 특히, 상기 특허의 도 6과 도 8의 실시예에 따른 플라즈마 반응기는 어떤 CVD 박막의 증착을 수행하는데 사용된다. 컬럼 10, 라인 16-23에서, 이런 반응기는 특히 실란과 같은 고반응성 화학적 선구물질 반응종을 사용하여 어떤 막을 증착하는데 유용하다고 개시되어 있다. 또한 상기 특허의 일부에는 반응기가 실리콘 이산화물 이외의 다이아몬드와 같은 박막에 사용될 수 있으며, 고유전 상수를 가지는 재료 증착이 이런 실시예에 의해 마찬가지로 잘 수행될 수 있다고 개시되어 있다.
상기 '055 특허의 플라즈마 처리는, 처리될 제품을 홀딩하고 그것의 일측에플라즈마 발생 영역을 적어도 부분적으로 둘러싸는 돔형 부분과 상기 돔형 부분의 아래에 놓이는 수직 실린더형 부분을 포함하는 안테나를 가지는 진공 처리 챔버를 제공하고; 상기 처리 챔버내로 음전성 가스를 함유하는 처리 가스를 공급하고; 상기 안테나에 RF 전기 신호를 공명 결합하고; 상기 처리 가스로부터 상기 처리 챔버의 플라즈마 발생 영역에 형성되는 플라즈마에 상기 안테나로부터의 음전성 에너지를 비공명 및 유도 결합하는 단계들로 달성되고, 그결과 상기 제품은 플라즈마에 의해 처리된다.
상기 '055 특허의 플라즈마 처리에서, 음전성 가스는 할로겐을 포함할 수 있고 처리 가스는 실리콘 이산화물용 선구물질 가스를 포함할 수 있다.
플라즈마 CVD 처리에 의한 막 형성을 위한 플라즈마 처리 방법은 고밀도 플라즈마가 자게의 존재에서 발생하는 미국 특허 제5,626,922호에 개시되어 있다.
상기 '922 특허의 컬럼 2, 라인 63 내지 컬럼 3, 라인 15에서, 0.03 내지 30torr만큼 높은 압력에서 고밀도 플라즈마를 발생하고 유지하기 위해, ECR이 저진공하에서 원주형 공간에 발생된다. 이후에, 종래 ECR CVD 처리에 통상 사용되는 가스 농도보다 더 큰 고농도 생성물 가스를 가지는 공간을 얻기 위해 고압하에서 유지되는 플라즈마를 생성하도록 가스, 액체 또는 고체가 원주형 공간내로 유입된다.
바로 이전의 문단에서의 설명은 얻어진 막이 탄소막, 다이아몬드막, i-탄소, DLC 및 절연용 세라믹, 금속막, 특히 고융점을 가지는 금속막을 포함하고 있음을 보여준다.
미국 특허 제5,721,021호는 고밀도 플라즈마 CVD를 사용하여 티타늄 함유 도전성 박막을 증착하는 방법을 개시한다. 특히, 저압 고밀도 플라즈마는 약 30㎚/분의 속도로 티타늄 질화막을 증착하기 위해 2.5㎾의 무선 주파수 파워의 출력으로 발생된다. 얻어지는 티타늄 질화막은 1% 이하의 염소 함유량, 금속 광택 및 저저항성을 가진다.
따라서, 실리콘 산질화물 DARC를 준비하는 종래 방법의 두드러진 단점중 하나는 레지스트 오염의 존재이며, 이런 레지스트 오염은 부가적 실리콘 이산화물 및/또는 실리콘 산질화물 표면을 산화시키는 플라즈마 처리의 사용에도 불구하고 해결되지않는다.
실리콘 산질화물 DARC를 준비하는 종래 방법의 다른 단점은 RF PECVD가 사용될때 상기 방법은 1 내지 20torr 압력 범위의 진공 시스템에서 수행되어야 하고, 가장 전형적으로 DARC 막의 증착이 1.5 내지 10torr 압력 범위에서 증착되지만, 이런 압력 범위에서 O2가스가 폭발의 위험성 때문에 사용될 수 없다는 것이다.
실리콘 산질화물 DARC를 준비하는 종래 방법의 또다른 단점은 O2가스가 폭발의 위험성 때문에 사용될 수 없기 때문에 산질화물과 실리콘 이산화물 둘다의 산소원으로서 N2O가 사용되지만, 부가적 실리콘 이산화막이 배리어 층으로서 사용될때 N2O는 상기 처리가 N2O 반응 가스로부터의 질소 및/또는 아민 그룹을 함유할 위험성을 높인다는 것이다. 따라서, 기판막네의 질소 함유량에 대한 화학적으로 증진된 포토레지스트의 민감도에 의존하여, 표면에서의 극도로 소량의 아민 그룹 또는 댕글링 본드는 질소 함유 기판상에 실리콘 산질화물, 실리콘 질화물 및 티타늄 질화물과 같은 "푸팅"에 의해 증명된 바와 같이 포토레지스트 오염을 초래하는 경향이 있다.
본 발명의 목적은 고밀도 플라즈마 CVD 시스템을 사용하여 유전성 반사 방지 코팅용 실리콘 산질화막과 실리콘 이산화막 캡을 제조하기 위한 방법을 제공하는 것이다.
본 발명의 다른 목적은 실리콘 산질화물 증착을 위한 화학적 성질이 SiH4/O2/N2또는 SiH4/O2/N2/Ar의 어떤 비율이 되는 고밀도 플라즈마 CVD 시스템을 사용하여 유전성 반사 방지 코팅용 실리콘 산질화막을 제조하기 위한 방법을 제공하는 것이다.
본 발명의 또다른 목적은 실리콘 이산화물의 부가적 배리어 층 증착을 위한 화학적 성질이 SiH4/O2또는 SiH4/O2/Ar의 어떤 비율이 되는 고밀도 플라즈마 CVD 시스템을 사용하여 유전성 반사 방지 코팅용 실리콘 산질화막과 실리콘 이산화막 캡을 제조하기 위한 방법을 제공하는 것이다.
본 발명의 또다른 목적은 임의 주파수와 임의 RF 파워 조합이 50와트 내지 5,000와트 범위에서의 소스 파워로 사용될 수 있는 고밀도 플라즈마 CVD 시스템을 사용하여 유전성 반사 방지 코팅용 실리콘 산질화막과 실리콘 이산화막 캡을 제조하기 위한 방법을 제공하는 것이다.
본 발명의 또다른 목적은 임의 주파수와 임의 RF 파워 조합이 0 내지 4,000와트 범위에서의 Ar 스퍼터링을 위한 바이어스 파워로 사용될 수 있는 고밀도 플라즈마 CVD 시스템을 사용하여 유전성 반사 방지 코팅용 실리콘 산질화막과 실리콘 이산화막 캡을 제조하기 위한 방법을 제공하는 것이다.
본 발명의 또다른 목적은 HDP CVD 챔버의 압력이 0.1 내지 20mtorr 사이에 배치되는 고밀도 플라즈마 CVD 시스템을 사용하여 유전성 반사 방지 코팅용 실리콘 산질화막과 실리콘 이산화막 캡을 제조하기 위한 방법을 제공하는 것이다.
본 발명의 또다른 목적은 증착 처리동안 SiH4의 흐름 속도가 1 내지 500sccm 범위이고 O2의 흐름 속도가 1 내지 500sccm이 되는 유전성 반사 방지 코팅용 실리콘 산질화막과 실리콘 이산화막 캡을 제조하기 위한 방법을 제공하는 것이다.
본 발명의 또다른 목적은 증착동안 Ar 흐름 속도가 0 내지 500sccm 범위이고, He 가스가 0 내지 30torr 범위에서 후면 냉각을 위해 사용되며, 정전기척 파워가 0 내지 2,000V 범위가 될 수 있는 고밀도 플라즈마 CVD 시스템을 사용하여 유전성 반사 방지 코팅용 실리콘 산질화막과 실리콘 이산화막 캡을 제조하기 위한 방법을 제공하는 것이다.
도 1은 실리콘 산질화물 DRAC층상의 "푸팅(footing)" 또는 오염물을 보여주는 포토레지스트 프로파일의 사진이며, 특히 도 1a는 캡 및 플라즈마가 없는 것을 설명하고; 도 1b는 100A에 캡 산화물을 더한 실리콘 산질화물을 도시하고; 도 1c는 200A에 캡 산화물을 더한 실리콘 산질화물을 도시하고; 도 1d는 캡 산화물(320A)을 더한 실리콘 산질화물을 도시하고; 도 1e는 120W 플라즈마 처리후 320A에 캡 산질화물을 더한 실리콘 산질화물을 도시하며; 도 1f는 1㎾ 플라즈마 처리후 320A에 산질화물을 더한 실리콘 산질화물을 도시함.
도 2는 "푸팅"이 없는 것으로 보여지는, HDP 산화막으로부터의 오염이 없는 본 발명에 따라 형성된 화학적으로 증진된 포토레지스트의 사진.
일반적으로 본 발명은 DARC의 산소원으로서 O2가스의 사용을 허용하는 최저 압력 범위와 최고 파워로 고밀도 플라즈마(HDP) CVD 시스템을 사용하여 실리콘 산질화물 DARC와 실리콘 이산화물을 증착함으로써 달성된다.
상기 HDP CVD 방법은 적어도 2가지의 서로 다른 파워를 사용하는데, 하나는 증착부를 위한 소스 플라즈마 발생용 파워이고 다른 하나는 Ar 가스를 사용하는 스퍼터링부를 위한 바이어스 파워이다. 상기 방법은 수 mtorr 범위에서 수행되므로, SiH4가스와 함께 O2가스의 사용을 허용한다. 이것은 최저 압력 범위, 최고 파워 및 인-시튜 스퍼터링 때문에 고품질의 막을 제공한다. 실리콘 산질화물은 SiH4/O2/N2를 사용하여 증착되고 부가적 배리어층을 위한 실리콘 이산화물은 질소 성분을 함유하지않는 SiH4/O2를 사용하여 증착된다. 본 발명에 따라 준비되는 화학적으로 증진된 포토레지스트는 HDP 산화막으로부터 어떤 오염물도 형성하지 않는다.
본 발명은 최저 압력 범위와 최고 파워 범위에서 고밀도 플라즈마(HDP) CVD를 사용하여 실리콘 산질화물 DARC와 실리콘 이산화물을 증착하며, 그결과 DARC의 산소원으로서 O2의 사용을 허용한다.
본 발명의 HDP CVD 방법은 적어도 2가지의 서로 다른 파워를 사용하는데, 하나는 증착부에 대한 소스 플라즈마 발생용 파워이고 다른 하나는 Ar 가스를 사용한 스퍼터링용 바이어스 파워이다. 수 mtorr 범위에서 동작함으로써, 본 발명의 방법은 SiH4가스와 함께 O2가스의 사용을 허용한다. 또한 본 발명의 HDP CVD 방법은 최저 압력 범위, 최고 파워 범위 및 인-시튜 스퍼터링의 사용때문에 고품질의 막을 제공한다.
본 발명에서, 실리콘 산질화물은 어떤 비율의 SiH4/O2/N2또는 SiH4/O2/N2/Ar를 사용하여 증착되고, 실리콘 이산화물은 질소 성분을 함유하지않는 SiH4/O2또는 SiH4/O2/Ar를 사용하여 증착된다. 본 발명의 방법에 따른 결과로서, 상기 화학적으로 증진된 포토레지스트는 HDP 산화막으로부터 어떤 오염도 발생하지 않는다.
본 발명의 두드러진 특징은 HDP 실리콘 산질화물이 실리콘 이산화물 캡 층없이 사용될 수 있다는 것인데, 그 이유는 본원 발명에 따른 막이 종래 PECVD 막보다 더 조밀하고 더 깨끗하기 때문이다.
진공 반응기 챔버에서, 실리콘 산질화물 DARC와 실리콘 이산화물이 고밀도 플라즈마(HDP) CVD 방법을 사용하여 증착되며, 임의 주파수와 임의 RF 파워의 조합이 0.1 내지 20mtorr 사이의 진공 챔버에서의 저압 범위에서 50 내지 5,000와트 범위의 소스 파워를 위해 사용된다. Ar 스퍼터링용 바이어스 파워는 0 내지 4,000와트 범위이며, O2가스가 DARC의 산소원으로서 사용된다. 증착동안 상기 O2가스 흐름 속도는 1 내지 500sccm 사이이고, 증착동안 N2가스 흐름 속도는 0 내지 500sccm 사이이다.
본 발명의 일실시예에서, 실리콘 산질화물이 증착될때, SiH4/O2/N2의 화학적 작용이 이용되며, 얻어진 고밀도(HDP) 실리콘 산질화막은 종래 막보다 더 조밀하고 더 깨끗하다. 이것은 매우 실용적인데, 이는 HDP 실리콘 산질화물이 실리콘 이산화물 캡 층없이 사용될 수 있기 때문이다.
그러나, 실리콘 산질화물이 SiH4/O2/N2혼합물을 사용하여 증착될때 실리콘이산화물은 질소 성분을 포함하지않는 SiH4/O2를 증착함으로써 부가적 배리어 층으로서 사용될 수 있다.
본 발명은 최저 압력 범위와 최고 파워 범위에서 고밀도 플라즈마(HDP) CVD를 사용하여 실리콘 산질화물 DARC와 실리콘 이산화물을 증착하며, 그결과 DARC의 산소원으로서 O2의 사용을 허용한다.

Claims (13)

  1. 고밀도 플라즈마 CVD 시스템을 사용한, 유전성 반사 방지 코팅으로서 사용될때 레지스트 오염을 방지하는 실리콘 산질화물, 실리콘 질화물 및 티타늄 질화물 막으로 이루어지는 그룹으로부터 선택된 질소 함유 기판 형성 방법에 있어서,
    플라즈마 발생 영역에서의 폭발 위험성없이 산소원으로서 O2가 사용될 수 있기에 충분한 진공상태에서 웨이퍼를 홀딩하는 처리 챔버를 제공하며;
    상기 처리 챔버내로 SiH4/O2/N2또는 SiH4/O2/N2/Ar로 이루어진 그룹으로부터 선택된 가스 혼합물을 유입하며;
    상기 처리 챔버의 플라즈마 발생 영역에서 고밀도 플라즈마를 형성하기에 충분한 주파수의 RF 전기 신호를 상기 처리 챔버에 공급함으로써, 상기 웨이퍼가 상기 RF 전기 신호에 의해 발생된 고밀도 플라즈마로 처리되는 것을 특징으로 하는 방법.
  2. 제 1항에 있어서, 상기 막은 실리콘 산질화물이고 상기 가스 혼합물은 SiH4/O2/N2인 것을 특징으로 하는 방법.
  3. 제 1항에 있어서, 상기 막은 실리콘 산질화물이고 상기 가스 혼합물은 SiH4/O2/N2/Ar인 것을 특징으로 하는 방법.
  4. 고밀도 플라즈마 CVD 시스템을 사용한, 유전성 반사 방지 코팅으로서 사용될때 레지스트 오염을 방지하는 실리콘 산화물 캡 형성 방법에 있어서,
    플라즈마 발생 영역에서의 폭발 위험성없이 산소원으로서 O2가 사용될 수 있기에 충분한 진공상태에서 웨이퍼를 홀딩하는 처리 챔버를 제공하며;
    SiH4/O2또는 SiH4/O2/Ar로 이루어진 그룹으로부터 선택된 가스 혼합물을 생성하며;
    상기 처리 챔버의 플라즈마 발생 영역에서 고밀도 플라즈마를 형성하기에 충분한 주파수의 RF 전기 신호를 상기 처리 챔버에 공급함으로써, 상기 웨이퍼가 상기 RF 전기 신호에 의해 발생된 고밀도 플라즈마로 처리되는 것을 특징으로 하는 방법.
  5. 제 4항에 있어서, 상기 막은 실리콘 이산화막 캡이고 상기 가스 혼합물은 SiH4/O2인 것을 특징으로 하는 방법.
  6. 제 4항에 있어서, 상기 막은 실리콘 이산화막 캡이고 상기 가스 혼합물은 SiH4/O2/Ar인 것을 특징으로 하는 방법.
  7. 제 1항에 있어서, 상기 폭발 위험성없이 O2가 사용될 수 있는 진공 상태는0.1 내지 20mtorr 사이인 것을 특징으로 하는 방법.
  8. 제 4항에 있어서, 상기 폭발 위험성없이 O2가 사용될 수 있는 진공 상태는 0.1 내지 20mtorr 사이인 것을 특징으로 하는 방법.
  9. 제 2항에 있어서, 상기 가스 혼합물의 SiH4성분은 1 내지 500sccm 사이의 흐름 속도로 유입되는 것을 특징으로 하는 방법.
  10. 제 3항에 있어서, 상기 가스 혼합물의 SiH4성분은 1 내지 500sccm 사이의 흐름 속도로 유입되는 것을 특징으로 하는 방법.
  11. 제 10항에 있어서, 상기 Ar 스퍼터링 성분은 0 내지 500sccm 사이의 흐름 속도로 유입되는 것을 특징으로 하는 방법.
  12. 제 5항에 있어서, 상기 가스 혼합물의 SiH4성분은 1 내지 500sccm 사이의 흐름 속도로 유입되는 것을 특징으로 하는 방법.
  13. 제 6항에 있어서, 상기 Ar 스퍼터링 성분은 0 내지 500sccm 사이의 흐름 속도로 유입되는 것을 특징으로 하는 방법.
KR1019990019943A 1998-06-15 1999-06-01 유전성반사방지코팅을제조하기위한고밀도플라즈마cvd법 KR20000005783A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/097,872 US6060132A (en) 1998-06-15 1998-06-15 High density plasma CVD process for making dielectric anti-reflective coatings
US09/097,872 1998-06-15

Publications (1)

Publication Number Publication Date
KR20000005783A true KR20000005783A (ko) 2000-01-25

Family

ID=22265540

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990019943A KR20000005783A (ko) 1998-06-15 1999-06-01 유전성반사방지코팅을제조하기위한고밀도플라즈마cvd법

Country Status (6)

Country Link
US (1) US6060132A (ko)
EP (1) EP0965655A3 (ko)
JP (1) JP2000034565A (ko)
KR (1) KR20000005783A (ko)
CN (1) CN1156604C (ko)
TW (1) TW473555B (ko)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291363B1 (en) * 1999-03-01 2001-09-18 Micron Technology, Inc. Surface treatment of DARC films to reduce defects in subsequent cap layers
US6521546B1 (en) 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6573196B1 (en) 2000-08-12 2003-06-03 Applied Materials Inc. Method of depositing organosilicate layers
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6500773B1 (en) 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US20030054117A1 (en) * 2001-02-02 2003-03-20 Brewer Science, Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US7132219B2 (en) * 2001-02-02 2006-11-07 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
KR100768175B1 (ko) * 2001-02-07 2007-10-17 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6410461B1 (en) * 2001-05-07 2002-06-25 Advanced Micro Devices, Inc. Method of depositing sion with reduced defects
US6498383B2 (en) * 2001-05-23 2002-12-24 International Business Machines Corporation Oxynitride shallow trench isolation and method of formation
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US7026172B2 (en) * 2001-10-22 2006-04-11 Promos Technologies, Inc. Reduced thickness variation in a material layer deposited in narrow and wide integrated circuit trenches
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
GB0130870D0 (en) * 2001-12-21 2002-02-06 Accentus Plc Solid-state antenna
US6713127B2 (en) * 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US6800566B2 (en) 2002-02-21 2004-10-05 Taiwan Semiconductor Manufacturing Company Adjustment of N and K values in a DARC film
US6989230B2 (en) * 2002-03-29 2006-01-24 Infineon Technologies Ag Producing low k inter-layer dielectric films using Si-containing resists
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
DE10218955B4 (de) * 2002-04-27 2004-09-09 Infineon Technologies Ag Verfahren zur Herstellung einer strukturierten Schicht auf einem Halbleitersubstrat
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7365014B2 (en) * 2004-01-30 2008-04-29 Applied Materials, Inc. Reticle fabrication using a removable hard mask
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7101787B1 (en) 2004-04-09 2006-09-05 National Semiconductor Corporation System and method for minimizing increases in via resistance by applying a nitrogen plasma after a titanium liner deposition
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
CN100383934C (zh) * 2004-11-15 2008-04-23 旺宏电子股份有限公司 控制介电抗反射层特性的方法及介电抗反射层的制造方法
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
KR100641581B1 (ko) * 2005-12-29 2006-11-01 동부일렉트로닉스 주식회사 반도체 소자의 패시베이션층 형성 방법
WO2009143618A1 (en) * 2008-05-28 2009-12-03 Sixtron Advanced Materials, Inc. Silicon carbide-based antireflective coating
CN105154848A (zh) * 2015-08-10 2015-12-16 沈阳拓荆科技有限公司 氮氧硅薄膜的制备方法
US10208380B2 (en) 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
CN106783546A (zh) * 2016-12-26 2017-05-31 武汉新芯集成电路制造有限公司 一种darc薄膜的低温沉积方法
CN111235547B (zh) * 2020-04-27 2020-08-07 上海陛通半导体能源科技股份有限公司 化学气相沉积方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4510172A (en) * 1984-05-29 1985-04-09 International Business Machines Corporation Technique for thin insulator growth
US5260096A (en) * 1987-06-11 1993-11-09 Air Products And Chemicals, Inc. Structral articles
US4927704A (en) * 1987-08-24 1990-05-22 General Electric Company Abrasion-resistant plastic articles and method for making them
US5051308A (en) * 1987-08-24 1991-09-24 General Electric Company Abrasion-resistant plastic articles
US5424131A (en) * 1987-11-30 1995-06-13 Polyplasma, Inc. Barrier coatings on spacecraft materials
US5013690A (en) * 1990-02-01 1991-05-07 Air Products And Chemicals, Inc. Method for deposition of silicon films from azidosilane sources
KR930011413B1 (ko) * 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
US5156882A (en) * 1991-12-30 1992-10-20 General Electric Company Method of preparing UV absorbant and abrasion-resistant transparent plastic articles
JPH05275345A (ja) * 1992-03-30 1993-10-22 Nippon Sheet Glass Co Ltd プラズマcvd方法およびその装置
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5364666A (en) * 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
JP2630257B2 (ja) * 1994-06-03 1997-07-16 日本電気株式会社 半導体装置の製造方法
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
JPH09111460A (ja) * 1995-10-11 1997-04-28 Anelva Corp チタン系導電性薄膜の作製方法
US5897711A (en) * 1995-12-22 1999-04-27 Lam Research Corporation Method and apparatus for improving refractive index of dielectric films

Also Published As

Publication number Publication date
EP0965655A2 (en) 1999-12-22
JP2000034565A (ja) 2000-02-02
EP0965655A3 (en) 2004-01-07
CN1240841A (zh) 2000-01-12
CN1156604C (zh) 2004-07-07
TW473555B (en) 2002-01-21
US6060132A (en) 2000-05-09

Similar Documents

Publication Publication Date Title
KR20000005783A (ko) 유전성반사방지코팅을제조하기위한고밀도플라즈마cvd법
KR101318898B1 (ko) 탄소계 하드마스크를 개방하기 위한 프로세스
US6939808B2 (en) Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US5399237A (en) Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US6869542B2 (en) Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7101815B2 (en) Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6489248B2 (en) Method and apparatus for etch passivating and etching a substrate
US6296780B1 (en) System and method for etching organic anti-reflective coating from a substrate
US7056830B2 (en) Method for plasma etching a dielectric layer
US7479457B2 (en) Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
WO1999030357A1 (en) Method for etching silicon oxynitride and inorganic antireflection coatings
US5240555A (en) Method and apparatus for cleaning semiconductor etching machines
KR20040102337A (ko) 기판으로부터 잔류물을 제거하는 방법
JP2004363558A (ja) 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法
US6797628B2 (en) Methods of forming integrated circuitry, semiconductor processing methods, and processing method of forming MRAM circuitry
JPH10209079A (ja) 半導体装置の製造方法
KR100323442B1 (ko) 반도체소자의제조방법
US20030153193A1 (en) Etching method
KR20000071322A (ko) 반도체 장치 제조 방법
JPS61247032A (ja) テ−パエツチング方法
JPH11176806A (ja) プラズマ処理粒子制御のためのプラズマ・パージの方法
KR100312985B1 (ko) 반도체소자제조방법
KR20000024902A (ko) 웨이퍼 식각 장치

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid