JP4903567B2 - 調整可能な光学的性質およびエッチング特性を有する材料を堆積させる方法と装置。 - Google Patents

調整可能な光学的性質およびエッチング特性を有する材料を堆積させる方法と装置。 Download PDF

Info

Publication number
JP4903567B2
JP4903567B2 JP2006524007A JP2006524007A JP4903567B2 JP 4903567 B2 JP4903567 B2 JP 4903567B2 JP 2006524007 A JP2006524007 A JP 2006524007A JP 2006524007 A JP2006524007 A JP 2006524007A JP 4903567 B2 JP4903567 B2 JP 4903567B2
Authority
JP
Japan
Prior art keywords
range
power source
mhz
containing precursor
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006524007A
Other languages
English (en)
Other versions
JP2007502917A5 (ja
JP2007502917A (ja
Inventor
紀明 吹上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007502917A publication Critical patent/JP2007502917A/ja
Publication of JP2007502917A5 publication Critical patent/JP2007502917A5/ja
Application granted granted Critical
Publication of JP4903567B2 publication Critical patent/JP4903567B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

このPCT出願は、2003年8月21日出願の米国非仮特許出願番号第10/644,958号に基づくものであり、および優先権によるものであり、その全体の内容は、参照によって本願明細書に引用したものとする。
本発明は、調整可能な光学的およびエッチング特性を有する薄膜材料を堆積させるようにプラズマ増強化学蒸着(plasma−enhanced chemical vapor deposition:PECVD)システムを使用することに関する。
集積回路およびデバイス製造は、基板上への電子材料の堆積を必要とする。堆積膜は、基板の、または完成した回路の永久部分であり得る。この場合、膜特性は、回路動作のために必要な電気的、物理的、または化学的特性を提供するように選ばれる。他の場合として、膜は、デバイスまたは回路製造を可能にするかまたは単純化する一時的な層として使用されることがあり得る。例えば、堆積膜は、その後のエッチングプロセスのためのマスクとして役立つことがあり得る。その後のプロセスは、それで、基板の更なるプロセスを可能とするために、エッチング耐性膜(etch−resistant film)を取り除くことがあり得る。
一時的な層の他の実施例として、膜は、その後のリソグラフィパターニングオペレーションを改良するように使用されることがあり得る。1つの実施形態において、特定の光学的性質を有する膜は、基板に堆積し、後に、この膜は、一般にフォトレジストと称される感光性のイメージング膜で被覆される。フォトレジストは、それで、光に露光することによってパターニングされる。下層の堆積膜の光学的性質は、露光光の反射を低下させるように選ばれ、それによってリソグラフィプロセスの分解能を向上させる。このような膜は、反射防止コーティング(anti−reflective coating、これからはARC)と一般に称する。調整可能な光学的性質を有する気相堆積される材料を使用し、製造する方法は、米国特許番号第6316167号において示される。
さまざまな物理的および/または化学的堆積技術は、膜堆積のために通常使用され、しばしば、複数の技術は、特定の膜を堆積させるように使用されることがあり得る。好適な堆積方法は、所望の膜特性と、製造されているデバイスによって課される物理的なおよび/または化学的制約と、製造工程に関する経済的要因とを熟考することによって決定される。選択されたプロセスは、しばしば、関連する技術的な、および経済的な懸念に対処するように許容できるトレードオフを提供するものである。
熱的に励起された化学的気相成長(chemical vapor deposition、これからはCVD)は、集積回路製造のための材料を堆積させるように使用される共通の技術である。典型的な実施例では、基板は、低圧のリアクタ内に配置され、制御された温度に保持される。ウェーハは、膜に取り入れられる化学元素を含む1つ以上のプリカーサのガス状の環境にさらされる。ガス状のプリカーサは、基板表面に移送され、そして、固体膜を形成するように、1つ以上の化学反応を介して結合する。リアクタチャンバ、基板、およびプリカーサの条件は、一般的に、所望の物理的、化学的、および電気的な特性を有する膜を作成する化学反応を支持するように選ばれる。
プラズマは、膜堆積メカニズムを変更するか、または改良するように使用されることができる。プラズマを使用する堆積プロセスは、プラズマ増強化学蒸着(plasma−enhanced chemical vapor deposition、これからはPECVD)と一般に呼ばれる。一般に、プラズマは、ガス混合をRF信号にさらすことと、供給されたプロセスガスとのイオン化衝突を維持するように十分なエネルギに電子を励起することとによって真空リアクタ内で形成される。さらに、励起された電子は、解離性衝突を維持するように十分なエネルギを有することができ、そしてそれにより、所定の条件(例えば、チャンバ圧力、ガス流量など)の下でのガスの特定のセットは、チャンバ内で実行される特定のプロセスに適している荷電種および化学的反応種の集団を生成するように選ばれる。
プラズマ励起は、一般に、膜形成反応が典型的な熱的に励起されたCVDによって同様の膜を作成することを必要とする温度より非常に低い温度で進行することを可能とする。加えて、プラズマ励起は、熱CVDにおいてエネルギー的または動力学的に支持されなかった膜形成化学反応を活性化することがあり得る。PECVD膜の化学的および物理的な特性は、したがって、プロセスパラメータを調整することによって、比較的広い範囲に渡って変化されることができる。
本発明はPECVDシステムにおける堆積に関し、より詳しくは、調整可能なエッチング耐性ARC(Tunable Etch Resistant ARC:TERA)層の堆積に関する。本発明は、基板にTERA層を堆積させる方法を提供するものであって、第1のRF電源に結合された(coupled)上部電極および第2のRF電源に結合された移送可能な基板ホルダを有するチャンバを提供することと、基板を移送可能な基板ホルダに配置することと、基板にTERA層を堆積させることとを具備し、このTERA層の少なくとも一部分の物理的、化学的、または光学的特性が前記第2のRF電源によって提供されたRF電力に依存し、前記TERA層の少なくとも一部分の堆積速度が前記第2のRF電源によって提供されたRF電力に依存するものである。
図1は、本発明の実施形態に係るPECVDシステムの簡略化されたブロック図を示す。図示された実施形態において、PECVDシステム100は、処理チャンバ110と、容量結合型プラズマソースの一部としての上部電極140と、シャワープレートアセンブリ120と、基板135を支持するための基板ホルダ130と、圧力制御システム180と、コントローラ190とを備えている。
1つの実施形態において、PECVDシステム100は、バルブ118を使用して処理チャンバ110に組み合わせられることができるドライポンプ170を備えることができる。代わりとして、118は、圧力計およびスロットルバルブ(図示せず)を取り入れている圧力制御システムを備えることができる。代わりの実施形態として、ドライポンプおよびバルブは、必要とはされない。
1つの実施形態において、PECVDシステム100は、バルブ178を使用して処理チャンバ110に組み合わせられることができるリモートプラズマシステム175を備えることができる。代わりの実施形態では、リモートプラズマシステムおよびバルブは、必要とはされない。
1つの実施形態において、PECVDシステム100は、処理チャンバ110に組み合わせられることができる圧力制御システム180を備えることができる。例えば、圧力制御システム180は、スロットルバルブ(図示せず)およびターボモレキュラポンプ(TMP)(図示せず)を備えることができ、処理チャンバ110内に制御された圧力を提供することができる。例えば、チャンバ圧力は、ほぼ0.1mTorrからほぼ100Torrまでの範囲とすることができる。別の形態として、チャンバ圧は、0.1Torrから20Torrまでの範囲とすることができる。
処理チャンバ110は、基板135に隣接する処理空間102のプラズマの形成を容易にすることができる。PECVDシステム100は、200mm基板、300mm基板、またはより大きい基板のようなどのような直径の基板も処理するように構成されることができる。代わりとして、PECVDシステム100は、1つ以上の処理チャンバ内にプラズマを生成させることによって動作することができる。
PECVDシステム100は、処理チャンバ110に組み合わせられたシャワープレートアセンブリ120を備えている。シャワープレートアセンブリは、基板ホルダ130の反対側にマウントされる。シャワープレートアセンブリ120は、中心領域122と、エッジ領域124と、サブ領域126とを有している。シールドリング128は、処理チャンバ110にシャワープレートアセンブリ120を組み合わせるために使用されることができる。
中心領域122は、第1のプロセスガスライン123によって、ガス供給システム131に組み合わせられる。エッジ領域124は、第2のプロセスガスライン125によって、ガス供給システム131に組み合わせられる。サブ領域126は、第3のプロセスガスライン127によって、ガス供給システム131に組み合わせられる。
ガス供給システム131は、中心領域122に第1のプロセスガスを、エッジ領域124に第2のプロセスガスを、およびサブ領域126に第3のプロセスガスを提供する。ガス化学(gas chemistries)および流量は、これらの領域に個々に制御されることができる。代わりとして、中心領域およびエッジ領域は、単一の主要な領域として共に組み合わせられることができ、そしてガス供給システムは、主要な領域に第1のプロセスガスおよび/または第2のプロセスガスを提供することができる。実際のところ、いずれかの領域は、共に組み合わせられることができ、ガス供給システムは、必要に応じて、1つ以上のプロセスガスを提供することができる。
第1のプロセスガスは、シリコン含有プリカーサおよびカーボン含有プリカーサの少なくとも1つを含むことができる。例えば、シリコン含有プリカーサは、モノシラン(SiH)、テトラエチルオルトシリケート(TEOS)、モノメチルシラン(1MS)、ジメチルシラン(2MS)、トリメチルシラン(3MS)、テトラメチルシラン(4MS)、オクタメチルシクロテトラシロキサン(OMCTS)、およびテトラメチルシクロテトラシラン(TMCTS)の少なくとも1つを含んでいることができる。カーボン含有プリカーサは、CH、C、C、C、およびCOHの少なくとも1つを含んでいることができる。アルゴン、ヘリウムおよび/または窒素のような不活性ガスは、また、含まれることができる。シリコン含有プリカーサおよびカーボン含有プリカーサの流量は、ほぼ0.0sccmからほぼ5000sccmまでの範囲とすることができ、不活性ガスの流量は、ほぼ0.0sccmからほぼ10000sccmまでの範囲とすることができる。
また、第2のプロセスガスは、シリコン含有プリカーサおよびカーボン含有プリカーサの少なくとも1つを含んでいることができる。例えば、シリコン含有プリカーサは、モノシラン(SiH4)、テトラエチルオルトシリケート(TEOS)、モノメチルシラン(1MS)、ジメチルシラン(2MS)、トリメチルシラン(3MS)、テトラメチルシラン(4MS)、オクタメチルシクロテトラシロキサン(OMCTS)、およびテトラメチルシクロテトラシラン(TMCTS)の少なくとも1つを含んでいる。カーボン含有プリカーサは、CH、C、C、C、およびCOHの少なくとも1つを含んでいる。アルゴン、ヘリウム、および/または窒素のような不活性ガスは、また、含まれることができる。シリコン含有プリカーサおよびカーボン含有プリカーサの流量は、ほぼ0.0sccmからほぼ5000sccmまでの範囲とすることができ、不活性ガスの流量は、ほぼ0.0sccmからほぼ10000sccmまでの範囲とすることができる。
加えて、第3のプロセスガスは、酸素含有ガス、窒素含有ガス、カーボン含有ガス、および不活性ガスの少なくとも1つを含むことができる。例えば、酸素含有ガスは、O、CO、NO、NO、およびCOの少なくとも1つを含むことができ、窒素含有ガスは、NおよびNFの少なくとも1つを含むことができ、不活性ガスは、ArおよびHeの少なくとも1つを含むことができる。第3のプロセスガスの流量は、ほぼ0.0sccmからほぼ10000sccmまでの範囲とすることができる。
ガス供給システム131は、プリカーサを提供するための少なくとも1つのベーパライザ(図示せず)を備えることができる。その代わりとして、ベーパライザは、必要なものではない。代わりの実施形態では、バブリングシステムは、使用されることができる。
PECVDシステム100は、シャワープレートアセンブリ120に組み合わせられることができ、および処理チャンバ110に組み合わせられることができる上部電極140を備えている。上部電極140は、温度制御部材142を備えることができる。上部電極140は、第1のマッチングネットワーク144を使用して第1のRF電源146に結合されることができる。その代わりとして、分離したマッチングネットワークは、必要なものではない。
第1のRF電源146は、上部電極にトップRF信号(TRF)を提供し、第1のRF電源146は、ほぼ0.1MHzからほぼ200MHzまでの周波数範囲内で動くことができる。例えば、堆積プロセスは、100MHz、60MHz、27MHz、および13.56MHzのほぼ各々で実行される。TRF信号は、ほぼ1MHzからほぼ100MHzまでの周波数範囲内にあり得て、または、あるいは、ほぼ2MHzからほぼ60MHzまでの周波数範囲内にあり得る。第1のRF電源146は、ほぼ10ワットからほぼ10000ワットまでの電力範囲内で動くことができ、または、あるいは、第1のRF電源は、ほぼ10ワットからほぼ5000ワットまでの電力範囲内で動くことができる。
上部電極140およびRF電源146は、容量結合型プラズマソースの一部である。容量結合型プラズマソースは、プラズマソースの他のタイプ、例えば誘導結合型プラズマ(inductively coupled plasma:ICP)、変成器結合型プラズマ(transformer−coupled plasma:TCP)ソース、マイクロ波強化プラズマソース、電子サイクロトロン共鳴(electron cyclotron resonance:ECR)プラズマソース、ヘリコン波(Helicon wave)プラズマソース、および表面波(surface wave)プラズマソースに置き換えられ、またはそれらにより増強されることがあり得る。周知のように、上部電極140は、省かれることがあり得るか、またはさまざまな適切なプラズマソースにおいて再構成されることがあり得る。
基板135は、例えば、ロボット基板移送システム(図示せず)を介し、ゲートバルブ(図示せず)およびチャンバフィードスルー(図示せず)を通って処理チャンバ110との間で移送されることができ、そして、それは、基板ホルダ130によって受けとられることができ、そして、それに組み合わせられたデバイスによって機械的に移動されることができる。一旦基板135が基板移送システムから受けとられると、基板135は、カップリングアセンブリ152によって基板ホルダ130に組み合わせられることができる移動デバイス150を使用して上昇および/または降下されることができる。
基板135は、静電クランピングシステムを介して基板ホルダ130に固定されることができる。例えば、静電クランピングシステムは、電極116およびESC電源156を備えることができる。ほぼ−2000Vからほぼ+2000Vまで変動することができるクランピング電圧は、例えば、クランピング電極に提供されることができる。別の形態として、クランプ電圧は、ほぼ−1000Vから+1000Vまで変動することができる。別の実施形態では、ガスは、例えば、基板135と、基板ホルダ130との間のガスギャップ熱伝導を向上させるように、裏面ガスシステムを介して基板135の裏面に供給されることができる。他の代わりの実施形態において、リフトピンは、基板ホルダ130内に準備されることができる。
温度制御システムは、また、提供されることができる。基板の温度制御が高温化または低温化された温度を必要とするときに、このようなシステムは、利用されることができる。例えば、抵抗加熱部材または熱−電ヒータ/クーラのような加熱部材132は、含まれることができ、基板ホルダ130は、冷却システム134を更に含むことができる。加熱部材132は、ヒータ電源158に組み合わせられることができる。冷却システム134は、基板ホルダ130から熱を受け熱交換器システム(図示せず)へ熱を移し、または、加熱するときには熱交換器システムから熱を移す再循環クーラントフローを提供することができる。
また、電極116は、第2のマッチングネットワーク162を使用して第2のRF電源160に結合されることができる。その代わりとして、マッチングネットワークは、必要なものではない。
第2のRF電源160は、下部電極116に下部RF信号(bottom RF signal:BRF)を提供し、第2のRF電源160は、ほぼ0.1MHzからほぼ200MHzまでの周波数範囲内で動くことができる。BRF信号は、ほぼ0.2MHzからほぼ30MHzまでの周波数範囲内であり得て、またあるいは、ほぼ0.3MHzからほぼ15MHzまでの周波数範囲内であり得る。第2のRF電源は、ほぼ0.1ワットからほぼ1000ワットまでの電力範囲内で動くことができ、またあるいは、第2のRF電源は、ほぼ0.1ワットからほぼ500ワットまでの電力範囲内で動くことができる。下部電極116は、チャンバ内のプラズマの唯一のソースであり得るか、または何らかの追加のプラズマソースを増やすことがあり得る。
PECVDシステム100は、処理チャンバ110にベローズ154によって組み合わせられることができる移動デバイス150を更に備えることができる。また、カップリングアセンブリ152は、基板ホルダ130に移動デバイス150を組み合わせることができる。ベローズ154は、処理チャンバ110の外側で気圧から垂直移動デバイスを封止するように構成される。
移動デバイス150は、シャワープレートアセンブリ120と、基板135との間で形成される可変なギャップ104(variable gap 104)を許すことができる。ギャップは、ほぼ1mmからほぼ200mmまで変動することができ、またあるいは、ギャップは、ほぼ2mmからほぼ80mmまで変動することができる。ギャップは、固定してとどまることができ、また、ギャップは、堆積プロセスの間、変わることができる。
加えて、基板ホルダ130は、フォーカスリング106およびセラミックカバー108を更に備えることができる。その代わりとして、フォーカスリング106および/またはセラミックカバー108は、必要なものではない。
少なくともチャンバ壁112上は、壁を保護するように、コーティング114を備えることができる。コーティング114は、セラミック材料を含むことができる。その代わりとして、コーティング114は、必要なものではない。
加えて、温度制御システムは、チャンバ壁温度を制御するように使用されることができる。例えば、ポート180は、温度を制御するためにチャンバ壁内に提供されることができる。プロセスがチャンバ内で実行されている間、チャンバ壁温度は、比較的一定に保持されることができる。
また、温度制御システムは、上部電極の温度を制御するように使用されることができる。温度制御部材142は、上部電極温度を制御するように使用されることができる。プロセスがチャンバ内で実行されている間、上部電極温度は、比較的一定に保持されることができる。
加えて、PECVDシステム100は、チャンバクリーニングのために使用されることができるリモートプラズマシステム175を、また、含むことができる。
さらにまた、PECVDシステム100は、コンタミネーションを制御し、および/またはチャンバをクリーニングするために使用されることができるパージングシステム(図示せず)を、また、含むことができる。
代わりの実施形態では、処理チャンバ110は、例えば、モニタリングポート(図示せず)を更に備えることができる。モニタリングポートは、例えば、処理空間102の光学的モニタリングを可能にすることができる。
PECVDシステム100は、また、コントローラ190を備えている。コントローラ190は、チャンバ110と、シャワープレートアセンブリ120と、基板ホルダ130と、ガス供給システム131と、上部電極140と、第1のRFマッチ144と、第1のRF電源146と、移動デバイス150と、ESC電源156と、ヒータ電源158と、第2のRFマッチ162と、第2のRF電源160と、ドライポンプ170と、リモートプラズマ装置175と、圧力制御システム118とに組み合わせられることができる。コントローラは、コントロールデータをこれらのコンポーネントへ提供し、プロセスデータのようなデータをこれらのコンポーネントから受信するように構成されることができる。例えば、コントローラ190は、マイクロプロセッサと、メモリーと、処理システム100に通信し、それへの入力を活性化し、同様にPECVDシステム100からの出力をモニタするのに十分な制御電圧を生成することが可能なデジタルI/Oポートとを有することができる。さらに、コントローラ190は、システムコンポーネントと情報を交換することができる。また、メモリーに格納されたプログラムは、プロセスレシピに係るPECVDシステム100の前述のコンポーネントを制御するように利用されることができる。加えて、コントローラ190は、プロセスデータを分析し、プロセスデータを目標プロセスデータと比較し、プロセスを変え、および/または堆積ツールを制御するために比較を使用するように構成されることができる。また、コントローラは、プロセスデータを分析し、プロセスデータを履歴プロセスデータと比較し、不良を予測、防止および/または示すために比較を使用するように構成されることができる。
図2A〜図2Cは、本発明の実施形態に係るTERA層を使用する簡略化された手順を示す。図2Aは、TERAキャップ層220およびTERA下部層230を含むTERA層の上のフォトレジスト層210を示す。例えば、TERAキャップ層220は、ほぼ150Aからほぼ1000Aまでの厚さを有する層であり得て、TERA下部層は、ほぼ300Aからほぼ5000Aまでの厚さを有する層であり得る。この例では、TERA下部層230は、酸化物層240に組み合わせられる。これは本発明に対して必要なものではなく、TERA層は、酸化物以外の材料の上に堆積されることがあり得る。2枚の層が図2に示されるが、これは、本発明に対しては必要ではない。TERAスタックは、1つ以上の層を備えることができる。
図2Bにおいて、フォトレジスト層210は、少なくとも1つのリソグラフィステップと、少なくとも1つの現像ステップとを使用して処理された。
図2Cにおいて、TERA層は、少なくとも1つのエッチングに関連したプロセスを使用して開けられた。
図3は、本発明の実施形態に係る、基板にTERA層を堆積させる手順の簡略化された流れ図である。例えば、TERA層は、異なるプロセスを使用して堆積されることができる下部層およびキャップ層を有することができる。手順300は、310でスタートする。
320において、チャンバは、提供されることができ、このチャンバは、プラズマソースと、オプションとして第2のRF電源に結合された移動可能な基板ホルダとを含むことができる。
330において、基板は、移動可能な基板ホルダに配置される。例えば、移動可能な基板ホルダは、上部電極の一面と、移動可能な基板ホルダの一面との間のギャップを形成するように使用されることができる。ギャップは、ほぼ1mmからほぼ200mmまで変動することができ、またあるいは、ギャップは、ほぼ2mmからほぼ80mmまで変動することができる。ギャップサイズは、堆積速度を変えるように変えられることができる。例えば、ギャップは、堆積速度を減らすように増加されることができ、ギャップは、堆積速度を増やすように減少されることができる。ギャップサイズは、また、プラズマプロセスの間、アーク発生を防ぐように変えられることができる。
340において、下部層は、基板に堆積されることができ、そこにおいて、堆積速度は、移動可能な基板ホルダの位置と、第1のRF電源によって提供されるRF電力と、第2のRF電源によって提供されるRF電力とに依存する。
下部層堆積プロセスの間、TRF信号は、第1のRF電源を使用して上部電極に提供されることができる。例えば、第1のRF電源は、ほぼ0.1MHzからほぼ200MHzまでの周波数範囲内で動くことができる。別の形態として、第1のRF電源は、ほぼ1MHzからほぼ100MHzまでの周波数範囲内で動くことができ、または、第1のRF電源は、ほぼ2MHzからほぼ60MHzまでの周波数範囲内で動くことができる。第1のRF電源は、ほぼ10ワットからほぼ10000ワットまでの電力範囲内で動くことができ、またあるいは、第1のRF電源は、ほぼ10ワットからほぼ5000ワットまでの電力範囲内で動くことができる。
また、下部層堆積プロセスの間、BRF信号は、第2のRF電源を使用して下部電極に提供されることができる。例えば、第2のRF電源は、ほぼ0.1MHzからほぼ200MHzまでの周波数範囲内で動くことができる。別の形態として、第2のRF電源は、ほぼ0.2MHzからほぼ30MHzまでの周波数範囲内で動くことができ、または、第2のRF電源は、ほぼ0.3MHzからほぼ15MHzまでの周波数範囲内で動くことができる。第2のRF電源は、ほぼ0.1ワットからほぼ1000ワットまでの電力範囲内で動くことができ、またあるいは、第2のRF電源は、ほぼ0.1ワットからほぼ500ワットまでの電力範囲内で動くことができる。
加えて、シャワープレートアセンブリは、処理チャンバ内に提供されることができ、上部電極に組み合わせられることができる。シャワープレートアセンブリは、中心領域と、エッジ領域とを有することができ、シャワープレートアセンブリは、ガス供給システムに組み合わせられることができる。下部層堆積プロセスの間、第1のプロセスガスは、中心領域に提供されることができ、第2のプロセスガスは、エッジ領域に提供されることができる。
代わりとして、中心領域およびエッジ領域は、単一の主要な領域として共に組み合わせられることができ、ガス供給システムは、主要な領域に第1のプロセスガスおよび/または第2のプロセスガスを提供することができる。実際のところ、いずれかの領域は、共に組み合わせられることができ、ガス供給システムは、1つ以上のプロセスガスを提供することができる。
第1のプロセスガスは、シリコン含有プリカーサおよびカーボン含有プリカーサの少なくとも1つを含むことができる。不活性ガスは、また、含まれることができる。例えば、シリコン含有プリカーサおよびカーボン含有プリカーサの流量は、ほぼ0.0sccmからほぼ5000sccmまでの範囲とすることができ、不活性ガスの流量は、ほぼ0.0sccmからほぼ10000sccmまでの範囲とすることができる。シリコン含有プリカーサは、モノシラン(SiH)、テトラエチルオルトシリケート(TEOS)、モノメチルシラン(1MS)、ジメチルシラン(2MS)、トリメチルシラン(3MS)、テトラメチルシラン(4MS)、オクタメチルシクロテトラシロキサン(OMCTS)、およびテトラメチルシクロテトラシラン(TMCTS)の少なくとも1つを含むことができる。カーボン含有プリカーサは、CH、C、C、C、およびCOHを含むことができる。不活性ガスは、アルゴン、ヘリウム、および/または窒素であり得る。
第2のプロセスガスは、シリコン含有プリカーサおよびカーボン含有プリカーサの少なくとも1つを含むことができる。不活性ガスは、また、含まれることができる。例えば、シリコン含有プリカーサおよびカーボン含有プリカーサの流量は、ほぼ0.0sccmからほぼ5000sccmまでの範囲とすることができ、不活性ガスの流量は、ほぼ0.0sccmからほぼ10000sccmまでの範囲とすることができる。シリコン含有プリカーサは、モノシラン(SiH)、テトラエチルオルトシリケート(TEOS)、モノメチルシラン(1MS)、ジメチルシラン(2MS)、トリメチルシラン(3MS)、テトラメチルシラン(4MS)、オクタメチルシクロテトラシロキサン(OMCTS)、およびテトラメチルシクロテトラシラン(TMCTS)の少なくとも1つを含むことができる。カーボン含有プリカーサは、CH、C、C、C、およびCOHの少なくとも1つを含むことができる。不活性ガスは、アルゴン、ヘリウム、および窒素の少なくとも1つを含むことができる。
第1のプロセスガスおよび第2のプロセスガスの流量は、下部層堆積プロセスの間、独立して決められることができる。
下部層は、248nmと、193nmと、157nmとのうちの少なくとも1つの波長で測定されるときに、ほぼ1.5からほぼ2.5までの範囲にある屈折率(n)と、248nmと、193nmと、157nmとのうちの少なくとも1つの波長で測定されるときに、ほぼ0.10からほぼ0.9までの範囲にある減衰係数(k)(extinction coefficient (k))と、を有する材料で形成されていることができる。下部層は、ほぼ30.0nmからほぼ500.0nmまでの範囲の厚さを有し、堆積速度は、ほぼ100A/minからほぼ10000A/minまでの範囲とすることができる。下部層堆積時間は、ほぼ5秒からほぼ180秒まで変化することができる。
高堆積速度は、下部層堆積プロセスの間、RF信号を下部電極に印加することによって達成されることができる。RF電源は、比較的低いRF電力を提供することができる。
350において、キャップ層は、基板に堆積されることができ、そこにおいて、堆積速度は、移動可能な基板ホルダの位置と、第1のRF電源によって提供されるRF電力と、プロセスガスとに依存する。
キャップ層堆積プロセスの間、TRF信号は、第1のRF電源を使用して上部電極に提供されることができる。例えば、第1のRF電源は、ほぼ0.1MHzからほぼ200MHzまでの周波数範囲内で動くことができる。別の形態として、第1のRF電源は、ほぼ1MHzからほぼ100MHzまでの周波数範囲内で動くことができ、または、第1のRF電源は、ほぼ2MHzからほぼ60MHzまでの周波数範囲内で動くことができる。第1のRF電源は、ほぼ10ワットからほぼ10000ワットまでの電力範囲内で動くことができ、または、第1のRF電源は、ほぼ10ワットからほぼ5000ワットまでの電力範囲内で動くことができる。
加えて、シャワープレートアセンブリは、処理チャンバ内に提供されることができ、上部電極に組み合わせられることができる。シャワープレートアセンブリは、中心領域およびエッジ領域を有することができ、シャワープレートアセンブリは、ガス供給システムに組み合わせられることができる。第1のプロセスガスは、中心領域に提供されることができ、第2のプロセスガスは、エッジ領域に提供されることができ、および第3のプロセスガスは、キャップ層堆積プロセスの間、第3のガス領域を介してチャンバに提供されることができる。
代わりとして、中心領域およびエッジ領域は、単一の主要な領域として共に組み合わせられることができ、ガス供給システムは、主要な領域に第1のプロセスガスおよび/または第2のプロセスガスを提供することができる。事実、いずれかの領域は、共に組み合わせられることができ、ガス供給システムは、1つ以上のプロセスガスを提供することができる。
第1のプロセスガスは、シリコン含有プリカーサおよびカーボン含有プリカーサの少なくとも1つを含むことができる。不活性ガスは、また、含まれることができる。例えば、シリコン含有プリカーサおよびカーボン含有プリカーサの流量は、ほぼ0.0sccmからほぼ5000sccmまでの範囲とすることができ、不活性ガスの流量は、ほぼ0.0sccmからほぼ10000sccmまでの範囲とすることができる。シリコン含有プリカーサは、モノシラン(SiH)、テトラエチルオルトシリケート(TEOS)、モノメチルシラン(1MS)、ジメチルシラン(2MS)、トリメチルシラン(3MS)、テトラメチルシラン(4MS)、オクタメチルシクロテトラシロキサン(OMCTS)、およびテトラメチルシクロテトラシラン(TMCTS)の少なくとも1つを含むことができる。カーボン含有プリカーサは、CH,C,C、C、およびCOHの少なくとも1つを含むことができる。不活性ガスは、アルゴン、ヘリウム、および窒素の少なくとも1つを含むことができる。
第2のプロセスガスは、シリコン含有プリカーサおよびカーボン含有プリカーサの少なくとも1つを含むことができる。不活性ガスは、また、含まれることができる。例えば、シリコン含有プリカーサおよびカーボン含有プリカーサの流量は、ほぼ0.0sccmからほぼ5000sccmまでの範囲とすることができ、不活性ガスの流量は、ほぼ0.0sccmからほぼ10000sccmまでの範囲とすることができる。シリコン含有プリカーサは、モノシラン(SiH)、テトラエチルオルトシリケート(TEOS)、モノメチルシラン(1MS)、ジメチルシラン(2MS)、トリメチルシラン(3MS)、テトラメチルシラン(4MS)、オクタメチルシクロテトラシロキサン(OMCTS)、およびテトラメチルシクロテトラシラン(TMCTS)の少なくとも1つを含むことができる。カーボン含有プリカーサは、CH、C、C、C、およびCOHの少なくとも1つを含むことができる。不活性ガスは、アルゴン、ヘリウム、および窒素の少なくとも1つを含むことができる。
例えば、第3のプロセスガスの流量は、ほぼ0.0sccmからほぼ10000sccmまでの範囲とすることができる。第3のプロセスガスは、酸素含有ガス、窒素含有ガス、および不活性ガスの少なくとも1つを含むことができる。酸素含有ガスは、O、CO、NO、NO、およびCOの少なくとも1つを含むことができる。窒素含有ガスは、NおよびNFの少なくとも1つを含むことができる。不活性ガスは、ArおよびHeの少なくとも1つを含むことができる。
手順300は、360において終了する。キャップ層は、248nmと、193nmと、157nmとのうちの少なくとも1つの波長で測定されるときに、ほぼ1.5からほぼ2.5までの範囲にある屈折率(n)と、248nmと、193nmと、157nmとのうちの少なくとも1つの波長で測定されるときに、ほぼ0.10からほぼ0.9までの範囲にある減衰係数(k)と、を有する材料で形成されていることができる。
キャップ層は、ほぼ5.0nmからほぼ40.0nmまでの範囲にある厚さを有することができ、堆積速度は、ほぼ50A/minからほぼ5000A/minまでの範囲であることができる。キャップ層堆積時間は、5秒からほぼ180秒まで変化することができる。
代わりの実施形態では、BRF信号は、キャップ層堆積プロセスの間、第2のRF電源を使用して下部電極に提供されることができる。例えば、第2のRF電源は、ほぼ0.1MHzからほぼ200MHzまでの周波数範囲内で動くことができる。別の形態として、第2のRF電源は、ほぼ0.2MHzからほぼ30MHzまでの周波数範囲内で動くことができ、または、第2のRF電源は、ほぼ0.3MHzからほぼ15MHzまでの周波数範囲内で動くことができる。第2のRF電源は、ほぼ0.1ワットからほぼ1000ワットまでの電力範囲内で動くことができる。別の形態として、第2のRF電源は、ほぼ0.1ワットからほぼ500ワットまでの電力範囲内で動く。
圧力制御システムは、チャンバに組み合わせられることができ、チャンバ圧力は、圧力制御システムを使用して制御されることができる。例えば、チャンバ圧力は、ほぼ0.1mTorrからほぼ100Torrまでの範囲とすることができる。
温度制御システムは、基板ホルダに組み合わせられることができ、基板温度は、温度制御システムを使用して制御されることができる。例えば、基板温度は、ほぼ0℃からほぼ500℃までの範囲とすることができる。温度制御システムは、また、チャンバ壁に組み合わせられることができ、チャンバ壁の温度は、温度制御システムを使用して制御されることができる。例えば、チャンバ壁の温度は、ほぼ0℃からほぼ500℃までの範囲とすることができ変動することができる。加えて、温度制御システムは、シャワープレートアセンブリに組み合わせられることができ、シャワープレートアセンブリの温度は、温度制御システムを使用して制御されることができる。例えば、シャワープレートアセンブリの温度は、ほぼ0℃からほぼ500℃までの範囲とすることができる。
さらにまた、基板ホルダは、ESCを備えることができ、直流電圧は、基板を基板ホルダに固定する(clamp)ように、ESCに提供されることができる。例えば、直流電圧は、ほぼ−2000Vからほぼ+2000Vまでの範囲とすることができる。
図4は、本発明の実施形態に係る基板上にTERA層を堆積させる手順の中で使用されるプロセスの典型的なセットを示す。第1のステップで、プロセスガスは、チャンバに導入され、操作圧力は、定められる。例えば、チャンバ圧力は、ほぼ8Torrに定められることでき、第1のステップの期間は、60秒であり得る。プロセスガスは、シリコン含有プリカーサと、カーボン含有プリカーサと、不活性ガスとを含むことができる。別の実施形態では、異なる圧力は、使用されることができ、異なる期間は、使用されることができる。
第2のステップにおいて、安定化(stabilization)プロセスは、実行されることができる。例えば、前記プロセスガスの1つ以上の流量は、変えられることができ、クランプ電圧は、ESCに印加されることができる。
第3のステップにおいて、TERA層の下部層部分(bottom layer portion)は、堆積されることができる。第1のRF電源は、上部電極にRF信号(TRF)を提供することができ、第2のRF電源は、基板ホルダの一部であり得る下部電極にRF信号(BRF)を提供することができる。例えば、TRF周波数は、ほぼ0.1MHzからほぼ200MHzまでの範囲内であり得て、TRF電力は、ほぼ10ワットからほぼ10000ワットまでの範囲内であり得る。また、BRF周波数は、ほぼ0.1MHzからほぼ200MHzまでの範囲内であり得て、BRF電力は、ほぼ0.1ワットからほぼ1000ワットまでの範囲内であり得る。BRF信号は、下部層堆積プロセスの間、堆積速度の制御を提供する。例示の実施例(図4)において、TRF周波数は、ほぼ13.56MHzであった;TRF電力は、ほぼ700ワットであった;BRF周波数は、ほぼ2MHzであった;BRF電力は、ほぼ50ワットであった;ESC電圧は、ほぼ−200Vであった。別の実施形態では、異なる周波数、電力レベル、およびクランプ電圧は、使用されることができる。
第4のステップにおいて、前処理(preparation)プロセスは、実行されることができる。TRFおよびBRF信号レベルは、変更されることができ、プロセスガスは、変えられることができ、および流量は、修正されることができる。例示の実施例(図4)において、TRF信号は、オフにされた;BRF信号は、オフにされた;およびESC電圧は、ほぼ−200Vであった。また、シリコン含有プリカーサの流量は、変えられ、酸素含有ガスは、処理チャンバに供給された。別の形態として、プラズマは、オフにされることができ、シリコン含有プリカーサ、カーボン含有プリカーサ、酸素含有ガス、および不活性ガスの少なくとも1つを含んでいるプロセスガスによって、チャンバの圧力は、約1mTorrから約20Torrまで変動することができる。または、プラズマは、チャンバに提供されている不活性ガスによって維持されることができる。別の形態として、キャップ層を堆積させることが下部層を堆積させると直ちに起こるために、下部層を堆積させること、および、キャップ層を堆積させること間の四分の一ステップは省かれることができる。別の形態として、キャップ層の堆積が下部層の堆積後直ちに起こるならば、下部層の堆積と、キャップ層の堆積との間の第4のステップは、省かれることができる。
第5のステップにおいて、TERA層のキャップ層部分は、堆積されることができる。第1のRF電源は、上部電極にRF信号(TRF)を提供することができ、プロセスガスの異なる組合せは、処理チャンバに提供されることができる。例えば、TRF周波数は、ほぼ0.1MHzからほぼ200MHzまでの範囲内であり得て、TRF電力は、ほぼ10ワットからほぼ10000ワットまでの範囲内であり得る。加えて、プロセスガスの組合せは、シリコン含有プリカーサと、カーボン含有プリカーサと、酸素含有ガスと、不活性ガスとを含むことができる。例示の実施例(図4)において、TRF周波数は、ほぼ13.56MHzであった;TRF電力は、ほぼ400ワットであった;ESC電圧は、ほぼ−200Vであった;シリコン含有プリカーサは、3MSを含んでいて、酸素含有ガスは、COを含んでいて、不活性ガスは、Heを含んでいた。別の実施形態では、異なる周波数、電力レベル、およびガスは、使用されることができる。
ステップ6および7において、パージングプロセスは、実行されることができる。例えば、前記プロセスガスの1つ以上の流量は、変えられることができる;TRF信号は、変更されることができる;ESC電圧は、変えられることができる;および圧力は、修正されることができる。例示の実施例(図4)において、TRF信号は、オフにされた;シリコン含有プリカーサの流量は、ゼロにセットされた;酸素含有ガスの流量は、ゼロにセットされた;不活性ガスの流量は、一定値に保持された;およびESC電圧は、ゼロにセットされた。
第8のステップにおいて、チャンバは、排気され、圧力は、下げられる。例えば、プロセスガスは、このステップの間、チャンバに提供されない。
第9のステップにおいて、チャンバ圧力は、増加されることができる。例えば、1つ以上のプロセスガスは、処理チャンバに供給されることができ、チャンバ圧力は、所定のレベルで維持されることができる。例示の実施例(図4)において、RF信号は、オフにされる;シリコン含有プリカーサの流量は、ゼロにセットされた;カーボン含有プリカーサの流量は、ゼロにセットされた;酸素含有ガスの流量は、ほぼ36sccmにセットされた;不活性ガスの流量は、ほぼ600sccmにセットされた;およびチャンバ圧力は、ほぼ2Torrで維持された。
第10のステップにおいて、放電プロセスは、実行されることができる。例えば、TRF信号は、プラズマを定めるために使用されることができる。例示の実施例(図4)において、TRF信号は、オンされた;シリコン含有プリカーサの流量は、ゼロにセットされた;カーボン含有プリカーサの流量は、ゼロにセットされた;酸素含有ガスの流量は、ほぼ36sccmにセットされた;不活性ガスの流量は、ほぼ600sccmにセットされた;およびチャンバ圧力は、ほぼ2Torrで維持された。
第11のステップにおいて、ピンアッププロセスは、実行されることができる。例えば、リフトピンは、基板を基板ホルダから持ち上げるために伸ばされることができる。
第12のステップにおいて、パージングプロセスは、実行されることができる。例えば、TRF信号は、変更されることができ、チャンバ圧力は、変えられることができる。例示の実施例(図4)において、TRF信号は、オフにされた;シリコン含有プリカーサの流量は、ゼロにセットされた;カーボン含有プリカーサの流量は、ゼロにセットされた;酸素含有ガスの流量は、ほぼ36sccmにセットされた;不活性ガスの流量は、ほぼ600sccmにセットされた;およびチャンバ圧力は、ほぼ2Torrから低下された。
第13のステップにおいて、チャンバは排気され、圧力は、下げられる。例えば、プロセスガスは、このステップの間、チャンバに提供されない。
上記例は、TERA層が下部層およびキャップ層を堆積させるようにPECVD手順を使用することによって堆積されることができ、そこにおいて下部電極への第2のRF電力の少量の印加が下部層堆積プロセスの間、堆積速度を増やすことができる、ことを示している。
本実施例において、TERAの下部層およびキャップ層は、1つのチャンバ内でシーケンシャルに堆積される。下部層およびキャップ層の堆積の間の時間、プラズマは、オフされる。代わりの実施形態では、TERAの下部層およびキャップ層は、プラズマをオフせずに、同一チャンバ内で、シーケンシャルに堆積されることができる。代わりの実施形態では、TERAの下部層およびキャップ層は、別々のチャンバ内で堆積されることができる。
この実施例において、チャンバは、下部層および最上層の堆積の間、特定の圧力に保たれる。代わりの実施形態では、チャンバは、層の堆積の間で排気されることがあり得る。
これらの実施形態は、少量のRF電力を下部電極に印加することによって、堆積速度の劇的な増加を提供する。以前の処理システムは、プロセスにエッチング成分(etching component)を提供するように、下部電極に、より高いRF電力を使用していた。
表1に示されるデータは、本発明の典型的な実施形態のプロセス条件を示す。膜Aおよび膜Bのプロセス条件は、膜Bが低電力な裏面へのRFを使用して堆積された以外は、同一であった。膜Bの堆積速度は、2倍以上であって、実際は、膜Aの堆積速度よりほぼ3倍高速であった。加えて、ラザフォード後方散乱法(Rutherford Backscattering Spectroscopy:RBS)で測定されるときの膜Bの密度は、膜Aの密度より非常に高い。2つの膜の屈折率および減衰係数の違いは、また、観察された。この実施例から、堆積速度および膜特性への低電力な裏面RFの効果は、明らかである。
Figure 0004903567
フォトレジスト技術の発展は、先進の下部反射防止コーティング(bottom anti−reflective coating:BARC)層を必要とする。本発明は、先進のBARC層特性を有するTERAスタックを堆積させる手段を提供する。例えば、TERAスタックは、定められた波長でARC層として機能するように光学的性質を有する;フォトレジストに関して良好なエッチング選択性、フォトレジストとインタラクションを起こさない、およびその後のエッチングオペレーションに対してハードマスク層として役立つことができる。加えて、TERAスタックは、酸化することができて、そして湿式のエッチングプロセスを使用して取り除かれることができる。
本発明の特定の例示的な実施形態だけが上で詳述されたが、当業者は、多くの変更態様が具体的に本発明の新しい教示および効果から逸脱することなく、例示的実施形態において可能であると容易に認める。したがって、全てのこのような変更態様は、本発明の範囲内に含まれるものである。
本発明の実施形態に係るPECVDシステムに対する簡略化されたブロック図を示す図である。 本発明の実施形態に係るTERA層を使用する簡略化された手順を示す図である。 本発明の実施形態に係るTERA層を使用する簡略化された手順を示す図である。 本発明の実施形態に係るTERA層を使用する簡略化された手順を示す図である。 本発明の実施形態に係る基板にTERA層を堆積させる手順の簡略化された流れ図を示す図である。 本発明の実施形態に係る基板にTERA層を堆積させる手順で使用されるプロセスの典型的なセットを示す図である。

Claims (89)

  1. 基板に材料を堆積させる方法であって、
    前記基板を、上部電極を備えるプラズマソースを有するチャンバ内で、RF電源に結合された基板ホルダに配置することと、
    調整可能なエッチング耐性ARC(TERA)層を前記基板にPECVDを使用して堆積させることと、
    第1の流量で前記上部電極に組み合わせられたシャワープレートアセンブリの中心領域に第1のプロセスガスを提供することと、
    第2の流量で前記シャワープレートアセンブリのエッジ領域に第2のプロセスガスを提供することとを具備し、
    前記TERA層の少なくとも一部分の堆積速度が、RF電力が基板ホルダに印加されないときより速くなるように、前記RF電源によって提供されるRF電力が選ばれ、
    前記第1のプロセスガスおよび前記第2のプロセスガスは、シリコン含有プリカーサを含んでおり、
    前記TERA層を堆積させることは、
    第1の堆積時間に、下部層を堆積させることと、
    第2の堆積時間に、キャップ層を堆積させることとを含んでおり、
    前記下部層が、248nmと、193nmと、157nmとのうちの少なくとも1つの波長で測定されるときに、1.5から2.5までの範囲の屈折率(n)と、248nmと、193nmと、157nmとのうちの少なくとも1つの波長で測定されるときに、0.10から0.9までの範囲にある減衰係数(k)とを有する材料で形成され、
    前記キャップ層が、248nmと、193nmと、157nmとのうちの少なくとも1つの波長で測定されるときに、1.5から2.5までの範囲の屈折率(n)と、248nmと、193nmと、157nmとのうちの少なくとも1つの波長で測定されるときに、0.10から0.9までの範囲にある減衰係数(k)とを有する材料で形成され、
    前記下部層を堆積させる間に前記第1のプロセスガスを提供することは、
    カーボン含有プリカーサを提供することを更に備えており、
    前記カーボン含有プリカーサは、CH と、C と、C と、C と、C OHとのうちの少なくとも1つを含んでいる、方法。
  2. 前記下部層は、30.0nmから500.0nmまでの範囲の厚さを有する請求項に記載の方法。
  3. 前記プラズマソースは、追加のRF電源を有し、
    前記下部層を堆積させることは、
    0.1MHzから200MHzまでの周波数範囲内で前記追加のRF電源を動かすことと、
    0.1MHzから200MHzまでの周波数範囲内で前記RF電源を動かすこととを更に備えている請求項に記載の方法。
  4. 前記追加のRF電源は、1MHzから100MHzまでの周波数範囲内で動かされ、
    前記RF電源は、0.2MHzから30MHzまでの周波数範囲内で動かされる請求項に記載の方法。
  5. 前記追加のRF電源は、2MHzから60MHzまでの周波数範囲内で動かされ、
    前記RF電源は、0.3MHzから15.0MHzまでの周波数範囲内で動かされる請求項に記載の方法。
  6. 前記プラズマソースは、追加のRF電源を有し、
    前記下部層を堆積させることは、
    10ワットから10000ワットまでの電力範囲内で前記追加のRF電源を動かすことと、
    0.1ワットから1000ワットまでの電力範囲内で前記RF電源を動かすこととを更に備えている請求項に記載の方法。
  7. 前記追加のRF電源は、10ワットから5000ワットまでの電力範囲内で動かされ、
    前記RF電源は、0.1ワットから500ワットまでの電力範囲内で動かされる請求項に記載の方法。
  8. 前記下部層を堆積させることは、100A/minから10000A/minまでの速度でなされる請求項に記載の方法。
  9. 前記第1の堆積時間は、5秒から180秒まで変化する請求項に記載の方法。
  10. 前記第1のプロセスガスを提供することは、0.0sccmから5000sccmまでの範囲にある第1の速度で前記シリコン含有プリカーサおよび/または前記カーボン含有プリカーサを流すことを備えている請求項に記載の方法。
  11. 前記シリコン含有プリカーサは、モノシラン(SiH)と、テトラエチルオルトシリケート(TEOS)と、モノメチルシラン(1MS)と、ジメチルシラン(2MS)と、トリメチルシラン(3MS)と、テトラメチルシラン(4MS)と、オクタメチルシクロテトラシロキサン(OMCTS)と、テトラメチルシクロテトラシラン(TMCTS)とのうちの少なくとも1つを含んでいる請求項に記載の方法。
  12. 前記第1のプロセスガスは、アルゴンと、ヘリウムと、窒素とのうちの少なくとも1つを含む不活性ガスを含んでいる請求項に記載の方法。
  13. 前記下部層を堆積させることは、圧力制御システムを使用して、0.1mTorrから100Torrまでの範囲にあるチャンバ圧力に制御することを更に備えている請求項に記載の方法。
  14. 前記チャンバ圧力は、0.1Torrから20Torrまでの範囲にある請求項13に記載の方法。
  15. 前記下部層を堆積させることは、基板を基板ホルダに固定するように、基板ホルダに組み合わせられた静電チャック(ESC)に−2000Vから+2000Vまでの範囲にある直流電圧を提供することを更に備えている請求項に記載の方法。
  16. 前記直流電圧は、−1000Vから+1000Vまでの範囲にある請求項15に記載の方法。
  17. 前記キャップ層は、5.0nmから400nmまでの範囲の厚さを有している請求項に記載の方法。
  18. 前記プラズマソースは、追加のRF電源を有し、
    前記キャップ層を堆積させることは、0.1MHzから200MHzまでの周波数範囲内で前記追加のRF電源を動かすことを更に備えている請求項に記載の方法。
  19. 前記キャップ層を堆積させることは、0.1MHzから200MHzまでの周波数範囲で前記RF電源を動かすことを更に備えている請求項18に記載の方法。
  20. 前記プラズマソースは、追加のRF電源を有し、
    前記キャップ層を堆積させることは、10ワットから10000ワットまでの電力範囲で前記追加のRF電源を動かすことを更に備えている請求項に記載の方法。
  21. 前記キャップ層を堆積させることは、0.1ワットから1000ワットまでの電力範囲で前記RF電源を動かすことを更に備えている請求項20に記載の方法。
  22. 前記キャップ層を堆積させることは、50A/minから5000A/minまでの速度でなされる請求項に記載の方法。
  23. 前記第2の堆積時間は、5秒から180秒まで変化する請求項に記載の方法。
  24. 前記キャップ層を堆積させる間に前記第2のプロセスガスを提供することは、シリコン含有プリカーサと、カーボン含有プリカーサと、酸素含有ガスとを提供することを更に備えている請求項に記載の方法。
  25. 前記シリコン含有プリカーサおよび/または前記カーボン含有プリカーサは、0.0sccmから5000sccmまでの範囲にある第1の速度で流され、および/または、酸素含有プリカーサは、0.0sccmから10000sccmまでの範囲にある第2の速度で流される請求項24に記載の方法。
  26. 前記シリコン含有プリカーサは、モノシラン(SiH)と、テトラエチルオルトシリケート(TEOS)と、モノメチルシラン(1MS)と、ジメチルシラン(2MS)と、トリメチルシラン(3MS)と、テトラメチルシラン(4MS)と、オクタメチルシクロテトラシロキサン(OMCTS)と、テトラメチルシクロテトラシラン(TMCTS)とのうちの少なくとも1つを含んでいる請求項24に記載の方法。
  27. 前記カーボン含有プリカーサは、CHと、Cと、Cと、Cと、COHとのうちの少なくとも1つを含んでいる請求項24に記載の方法。
  28. 前記酸素含有ガスは、Oと、COと、NOと、NOと、COとのうちの少なくとも1つを含んでいる請求項24に記載の方法。
  29. 前記第2のプロセスガスは、アルゴンと、ヘリウムと、窒素とのうちの少なくとも1つを含む不活性ガスを含んでいる請求項24に記載の方法。
  30. シリコン含有プリカーサと、カーボン含有プリカーサと、酸素含有ガスと、不活性ガスとのうちの少なくとも1つを備えているプロセスガスによって、1mTorrと、20Torrとの間の圧力でチャンバを維持しながら、前記下部層と、前記キャップ層との堆積の間、プラズマをオフにすることを更に具備する請求項に記載の方法。
  31. 前記下部層を堆積させることと、前記キャップ層を堆積させることとは、別々のチャンバ内で更になされる請求項に記載の方法。
  32. シリコン含有プリカーサと、カーボン含有プリカーサとのうちの少なくとも1つを含むプロセスガスによって、シーケンシャル堆積の全体にわたってプラズマをつけたままで、前記下部層を堆積させることと、前記キャップ層を堆積させることとは、シーケンシャルに同一チャンバ内でなされる請求項に記載の方法。
  33. プラズマは、下部層の堆積と、キャップ層の堆積との間、維持され、前記堆積の間のリアクタ環境は、不活性ガスを含んでいる請求項に記載の方法。
  34. 下部層を堆積させることと、キャップ層を堆積させることとは、1つのチャンバでなされ、
    堆積の合間、プラズマは、オフにされ、チャンバは、一連の、排気と、窒素パージとがなされる請求項に記載の方法。
  35. 基板に材料を堆積させる方法であって、
    前記基板を、上部電極を備えるプラズマソースを有するチャンバ内で、RF電源に結合された基板ホルダに配置することと、
    調整可能なエッチング耐性ARC(TERA)層を前記基板にPECVDを使用して堆積させることと、
    第1の流量で前記上部電極に組み合わせられたシャワープレートアセンブリの中心領域に第1のプロセスガスを提供することと、
    第2の流量で前記シャワープレートアセンブリのエッジ領域に第2のプロセスガスを提供することとを具備し、
    前記TERA層の少なくとも一部分の堆積速度が、RF電力が基板ホルダに印加されないときより速くなるように、前記RF電源によって提供されるRF電力が選ばれ、
    前記第1のプロセスガスおよび前記第2のプロセスガスは、シリコン含有プリカーサを含んでおり、
    前記シャワープレートアセンブリは、サブ領域を更に有し、
    第3のプロセスガスは、前記サブ領域に提供される、方法。
  36. 前記第3のプロセスガスは、酸素含有ガスと、カーボン含有ガスと、窒素含有ガスと、不活性ガスとのうちの少なくとも1つを含んでいる請求項35に記載の方法。
  37. 前記基板ホルダに組み合わせられた温度制御システムを使用して基板温度を制御することを更に具備する請求項1に記載の方法。
  38. 前記基板温度は、0℃から500℃までの範囲にある請求項37に記載の方法。
  39. 温度制御システムを使用して少なくとも1つのチャンバ壁の温度を制御することを更に具備する請求項37に記載の方法。
  40. 前記少なくとも1つのチャンバ壁の温度は、0℃から500℃までの範囲である請求項39に記載の方法。
  41. 温度制御システムを使用してシャワープレートアセンブリの温度を制御することを更に具備する請求項37に記載の方法。
  42. 前記シャワープレートアセンブリの温度は、0℃から500℃までの範囲である請求項41に記載の方法。
  43. 前記チャンバをパージすることと、
    前記チャンバ内を低圧にすることと、
    デチャッキング操作を実行することとを更に具備する請求項1に記載の方法。
  44. 前記デチャッキング操作は、プロセスガスを提供することを備えている請求項43に記載の方法。
  45. 前記プロセスガスは、酸素含有ガスと、カーボン含有プリカーサとのうちの少なくとも1つを含んでいる請求項44に記載の方法。
  46. 基板への前記調整可能なエッチング耐性ARC(TERA)層の堆積は、TERA層として少なくとも2つの層で堆積させることを含んでいる請求項1に記載の方法。
  47. 前記TERA層の特性は、前記RF電源によって提供されたRF電力に依存する請求項1に記載の方法。
  48. 前記基板ホルダにRF電力が印加されないときより、前記TERA層の密度が大きい請求項47に記載の方法。
  49. 基板に調整可能なエッチング耐性ARC(TERA)層を堆積させるPECVDシステムであって、
    基板ホルダを有するチャンバと、
    このチャンバ内にプラズマを生成するように配置されたプラズマソースと、
    前記基板ホルダに結合されたRF電源と、
    前記チャンバに組み合わせられたガス供給システムと、
    前記チャンバに組み合わせられた圧力制御システムとを具備し、
    前記TERA層の少なくとも一部分の堆積速度がRF電力が基板ホルダに印加されないときより速くなるように、前記RF電源によって提供されるRF電力が選ばれ、
    前記ガス供給システムは、シャワープレートアセンブリを含み、
    ガス供給アセンブリは、前記シャワープレートアセンブリの中心領域に第1のプロセスガスを、前記シャワープレートアセンブリのエッジ領域に第2のプロセスガスを供給し、
    前記第1のプロセスガスおよび前記第2のプロセスガスは、シリコン含有プリカーサを含んでおり、
    前記シャワープレートアセンブリは、サブ領域を有し、
    前記ガス供給システムは、このサブ領域に第3のプロセスガスを提供するように構成されている、PECVDシステム。
  50. チャンバに組み合わせられ、基板を前記基板ホルダに配置するための移送システムを更に具備する請求項49に記載のPECVDシステム。
  51. 前記基板ホルダは、移動可能であり、
    前記プラズマソースは、上部電極を含み、
    前記移動可能な基板ホルダは、上部電極の一面と、移動可能な基板ホルダの一面との間のギャップを形成するように構成されている請求項49に記載のPECVDシステム。
  52. 前記ギャップは、1mmから200mmまでの範囲にある請求項51に記載のPECVDシステム。
  53. 前記ギャップは、2mmから80mmまでの範囲にある請求項51に記載のPECVDシステム。
  54. 前記プラズマソースは、上部電極と、この上部電極にTRF信号を提供するように構成され、0.1MHzから200MHzまでの周波数範囲内で動く他のRF電源とを含んでいる請求項49に記載のPECVDシステム。
  55. 他の第1のRF電源は、1MHzから100MHzまでの周波数範囲内で動く請求項54に記載のPECVDシステム。
  56. 前記他のRF電源は、2MHzから60MHzまでの周波数範囲内で動く請求項55に記載のPECVDシステム。
  57. 前記他のRF電源は、10ワットから10000ワットまでの電力範囲内で動く請求項54に記載のPECVDシステム。
  58. 前記他のRF電源は、10ワットから5000ワットまでの電力範囲内で動く請求項57に記載のPECVDシステム。
  59. 前記RF電源は、移動可能な基板ホルダにBRF信号を提供するように構成され、0.1MHzから200MHzまでの周波数範囲内で動く請求項49に記載のPECVDシステム。
  60. 前記RF電源は、0.2MHzから30MHzまでの周波数範囲内で動く請求項59に記載のPECVDシステム。
  61. 前記RF電源は、0.3MHzから15MHzまでの周波数範囲内で動く請求項60に記載のPECVDシステム。
  62. 前記RF電源は、0.1ワットから1000ワットまでの電力範囲内で動く請求項59に記載のPECVDシステム。
  63. 前記RF電源は、0.1ワットから500ワットまでの電力範囲内で動く請求項62に記載のPECVDシステム。
  64. 前記第1のプロセスガスは、カーボン含有プリカーサを更に含んでいる請求項49に記載のPECVDシステム。
  65. 前記シリコン含有プリカーサおよび/または前記カーボン含有プリカーサの流量は、0.0sccmから5000sccmまでの範囲にある請求項64に記載のPECVDシステム。
  66. 前記シリコン含有プリカーサは、モノシラン(SiH)と、テトラエチルオルトシリケート(TEOS)と、モノメチルシラン(1MS)と、ジメチルシラン(2MS)と、トリメチルシラン(3MS)と、テトラメチルシラン(4MS)と、オクタメチルシクロテトラシロキサン(OMCTS)と、テトラメチルシクロテトラシラン(TMCTS)とのうちの少なくとも1つを含んでいる請求項64に記載のPECVDシステム。
  67. 前記カーボン含有プリカーサは、CHと、Cと、Cと、Cと、COHとのうちの少なくとも1つを含んでいる請求項64に記載のPECVDシステム。
  68. 前記第1のプロセスガスは、アルゴンと、ヘリウムと、窒素とのうちの少なくとも1つを含む不活性ガスを含んでいる請求項64に記載のPECVDシステム。
  69. 前記第2のプロセスガスは、カーボン含有プリカーサを更に含んでいる請求項49に記載のPECVDシステム。
  70. 前記シリコン含有プリカーサおよび/または前記カーボン含有プリカーサの流量は、0.0sccmから5000sccmまでの範囲にある請求項69に記載のPECVDシステム。
  71. 前記シリコン含有プリカーサは、モノシラン(SiH)と、テトラエチルオルトシリケート(TEOS)と、モノメチルシラン(1MS)と、ジメチルシラン(2MS)と、トリメチルシラン(3MS)と、テトラメチルシラン(4MS)と、オクタメチルシクロテトラシロキサン(OMCTS)と、テトラメチルシクロテトラシラン(TMCTS)とのうちの少なくとも1つを含んでいる請求項69に記載のPECVDシステム。
  72. 前記カーボン含有プリカーサは、CHと、Cと、Cと、Cと、COHとのうちの少なくとも1つを備えている請求項69に記載のPECVDシステム。
  73. 前記第2のプロセスガスは、アルゴンと、ヘリウムと、窒素とのうちの少なくとも1つを含む不活性ガスを含んでいる請求項69に記載のPECVDシステム。
  74. 前記第3のプロセスガスは、酸素含有ガスと、窒素含有ガスと、不活性ガスとのうちの少なくとも1つを含んでいる請求項49に記載のPECVDシステム。
  75. 前記第3のプロセスガスの流量は、0.0sccmから10000sccmまでの範囲にある請求項49に記載のPECVDシステム。
  76. 前記酸素含有ガスは、Oと、COと、NOと、NOと、COとのうちの少なくとも1つを含んでいる請求項74に記載のPECVDシステム。
  77. 前記窒素含有ガスは、Nと、NFとのうちの少なくとも1つを含んでいる請求項74に記載のPECVDシステム。
  78. 前記不活性ガスは、アルゴンと、ヘリウムとのうちの少なくとも1つを含んでいる請求項74に記載のPECVDシステム。
  79. 前記圧力制御システムは、チャンバ圧力を制御するための少なくとも1つのドライポンプを備えている請求項49に記載のPECVDシステム。
  80. 前記チャンバ圧力は、0.1mTorrから100Torrまでの範囲である請求項79に記載のPECVDシステム。
  81. 前記基板ホルダに組み合わせられ、基板温度を制御するように構成された温度制御システムを更に具備する請求項49に記載のPECVDシステム。
  82. 前記基板温度は、0℃から500℃までの範囲にある請求項81に記載のPECVDシステム。
  83. 前記温度制御システムは、少なくとも1つのチャンバ壁に組み合わせられ、前記少なくとも1つのチャンバ壁の温度を制御するように更に構成されている請求項81に記載のPECVDシステム。
  84. 前記少なくとも1つのチャンバ壁の温度は、0℃から500℃までの範囲にある請求項83に記載のPECVDシステム。
  85. 前記ガス供給システムは、シャワープレートアセンブリを含み、
    前記温度制御システムは、前記シャワープレートアセンブリに組み合わせられ、前記シャワープレートアセンブリの温度を制御するように更に構成されている請求項81に記載のPECVDシステム。
  86. 前記シャワープレートアセンブリの温度は、0℃から500℃までの範囲にある請求項85に記載のPECVDシステム。
  87. 前記基板ホルダに組み合わせられた静電チャック(ESC)と、
    前記基板をこの基板ホルダに固定するようにESCに直流電圧を提供するための手段とを更に具備する請求項49に記載のPECVDシステム。
  88. 前記直流電圧は、−2000Vから+2000Vまでの範囲にある請求項87に記載のPECVDシステム。
  89. 前記TERA層は、
    第1の堆積時間に、堆積された下部層と、
    第2の堆積時間に、堆積されたキャップ層とを含み、
    前記下部層が、248nmと、193nmと、157nmとのうちの少なくとも1つの波長で測定されるときに、1.5から2.5までの範囲の屈折率(n)と、248nmと、193nmと、157nmとのうちの少なくとも1つの波長で測定されるときに、0.10から0.9までの範囲にある減衰係数(k)とを有する材料で形成され、
    前記キャップ層が、248nmと、193nmと、157nmとのうちの少なくとも1つの波長で測定されるときに、1.5から2.5までの範囲の屈折率(n)と、248nmと、193nmと、157nmとのうちの少なくとも1つの波長で測定されるときに、0.10から0.9までの範囲にある減衰係数(k)とを有する材料で形成されている請求項49に記載のPECVDシステム。
JP2006524007A 2003-08-21 2004-08-18 調整可能な光学的性質およびエッチング特性を有する材料を堆積させる方法と装置。 Expired - Fee Related JP4903567B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/644,958 US7371436B2 (en) 2003-08-21 2003-08-21 Method and apparatus for depositing materials with tunable optical properties and etching characteristics
US10/644,958 2003-08-21
PCT/US2004/026803 WO2005021832A2 (en) 2003-08-21 2004-08-18 Method and appartus for depositing materials with tunable properties

Publications (3)

Publication Number Publication Date
JP2007502917A JP2007502917A (ja) 2007-02-15
JP2007502917A5 JP2007502917A5 (ja) 2007-10-11
JP4903567B2 true JP4903567B2 (ja) 2012-03-28

Family

ID=34194197

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006524007A Expired - Fee Related JP4903567B2 (ja) 2003-08-21 2004-08-18 調整可能な光学的性質およびエッチング特性を有する材料を堆積させる方法と装置。

Country Status (7)

Country Link
US (1) US7371436B2 (ja)
JP (1) JP4903567B2 (ja)
KR (1) KR101029286B1 (ja)
CN (1) CN100540733C (ja)
DE (1) DE112004001026T5 (ja)
TW (1) TWI248637B (ja)
WO (1) WO2005021832A2 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US7077903B2 (en) * 2003-11-10 2006-07-18 International Business Machines Corporation Etch selectivity enhancement for tunable etch resistant anti-reflective layer
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7497959B2 (en) 2004-05-11 2009-03-03 International Business Machines Corporation Methods and structures for protecting one area while processing another area on a chip
JP5015534B2 (ja) * 2006-09-22 2012-08-29 財団法人高知県産業振興センター 絶縁膜の成膜方法
US20080197015A1 (en) * 2007-02-16 2008-08-21 Terry Bluck Multiple-magnetron sputtering source with plasma confinement
CN102652186A (zh) * 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
US10170278B2 (en) * 2013-01-11 2019-01-01 Applied Materials, Inc. Inductively coupled plasma source
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9899210B2 (en) 2015-10-20 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus and method for manufacturing semiconductor device using the same
US11908728B2 (en) * 2017-07-28 2024-02-20 Tokyo Electron Limited System for backside deposition of a substrate
CN110106504B (zh) * 2019-04-04 2021-03-23 Tcl华星光电技术有限公司 一种蚀刻设备
DE102019129789A1 (de) 2019-11-05 2021-05-06 Aixtron Se Verfahren zum Abscheiden einer zweidimensionalen Schicht sowie CVD-Reaktor
US20210381107A1 (en) * 2020-06-03 2021-12-09 Micron Technology, Inc. Material deposition systems, and related methods and microelectronic devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000021860A (ja) * 1998-07-03 2000-01-21 Hitachi Ltd 半導体装置およびその製造方法
JP2000138211A (ja) * 1998-10-29 2000-05-16 Furontekku:Kk シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
JP2001308016A (ja) * 2000-04-24 2001-11-02 Matsushita Electric Ind Co Ltd 化学的気相成長装置および方法
JP2003179045A (ja) * 2001-12-13 2003-06-27 Tokyo Electron Ltd プラズマ処理装置及びその制御方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5687328A (en) * 1979-12-18 1981-07-15 Matsushita Electronics Corp Semiconductor treatment device
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH04348031A (ja) * 1990-12-28 1992-12-03 Mitsubishi Electric Corp 化学気相成長装置
JP3276514B2 (ja) * 1994-04-26 2002-04-22 東京エレクトロン株式会社 プラズマ処理装置
JPH09148322A (ja) 1995-11-22 1997-06-06 Sharp Corp シリコン酸化膜の成膜方法及びプラズマcvd成膜装置
KR19990030660A (ko) * 1997-10-02 1999-05-06 윤종용 전자빔을 이용한 반도체장치의 층간 절연막 형성방법
EP1077480B1 (en) * 1999-08-17 2008-11-12 Applied Materials, Inc. Method and apparatus to enhance properties of Si-O-C low K films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000021860A (ja) * 1998-07-03 2000-01-21 Hitachi Ltd 半導体装置およびその製造方法
JP2000138211A (ja) * 1998-10-29 2000-05-16 Furontekku:Kk シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
JP2001308016A (ja) * 2000-04-24 2001-11-02 Matsushita Electric Ind Co Ltd 化学的気相成長装置および方法
JP2003179045A (ja) * 2001-12-13 2003-06-27 Tokyo Electron Ltd プラズマ処理装置及びその制御方法

Also Published As

Publication number Publication date
CN100540733C (zh) 2009-09-16
TWI248637B (en) 2006-02-01
TW200514145A (en) 2005-04-16
WO2005021832A2 (en) 2005-03-10
KR20060118405A (ko) 2006-11-23
CN1839218A (zh) 2006-09-27
DE112004001026T5 (de) 2006-10-19
US7371436B2 (en) 2008-05-13
US20050039681A1 (en) 2005-02-24
KR101029286B1 (ko) 2011-04-18
JP2007502917A (ja) 2007-02-15
WO2005021832A3 (en) 2005-09-22

Similar Documents

Publication Publication Date Title
JP4629678B2 (ja) 基板上に材料を堆積させる方法。
CN1973358B (zh) 用于化学处理tera层的处理系统和方法
US10074543B2 (en) High dry etch rate materials for semiconductor patterning applications
TWI512136B (zh) 伴隨持續的電漿之pecvd多重步驟處理方法
JP4903567B2 (ja) 調整可能な光学的性質およびエッチング特性を有する材料を堆積させる方法と装置。
US20180337046A1 (en) Eliminating yield impact of stochastics in lithography
US20060046506A1 (en) Soft de-chucking sequence
US20050221020A1 (en) Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20230038611A1 (en) Uv cure for local stress modulation
US7611758B2 (en) Method of improving post-develop photoresist profile on a deposited dielectric film
KR20150103642A (ko) 금속 산화물 막 및 금속 질화물 막의 표면 거칠기를 감소시키기 위한 rf 사이클 퍼지
WO2018200288A1 (en) Euv photopatterning and selective deposition for negative pattern mask
KR20170063943A (ko) 플라즈마 cvd 막들에서의 오버레이의 가스 유동 프로파일 조절식 제어
JP2022109293A (ja) 被処理体を処理する方法
TW201534410A (zh) 使用低射頻偏壓頻率應用來清潔非晶碳沉積殘留物之清潔製程
TWI758464B (zh) 含矽間隔物的選擇性形成

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070807

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070807

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110322

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110523

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111206

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120105

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150113

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees