TW455659B - Gate valve - Google Patents

Gate valve Download PDF

Info

Publication number
TW455659B
TW455659B TW089126555A TW89126555A TW455659B TW 455659 B TW455659 B TW 455659B TW 089126555 A TW089126555 A TW 089126555A TW 89126555 A TW89126555 A TW 89126555A TW 455659 B TW455659 B TW 455659B
Authority
TW
Taiwan
Prior art keywords
valve
patent application
rod
gate valve
piston
Prior art date
Application number
TW089126555A
Other languages
Chinese (zh)
Inventor
Kenji Waragai
Tsuneo Ishigaki
Original Assignee
Smc Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Smc Kk filed Critical Smc Kk
Application granted granted Critical
Publication of TW455659B publication Critical patent/TW455659B/en

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • F16K3/02Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor
    • F16K3/16Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together
    • F16K3/20Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together by movement of the seats
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • F16K3/02Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor
    • F16K3/0254Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor being operated by particular means
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/44Mechanical actuating means
    • F16K31/53Mechanical actuating means with toothed gearing
    • F16K31/54Mechanical actuating means with toothed gearing with pinion and rack

Abstract

A gate valve comprises a valve rod (24) for making displacement in accordance with a driving action of a cylinder mechanism (44); a guide shaft (70a, 70b) for guiding the valve rod (24); a valve disk (26) for opening/closing a passage (32) in accordance with a displacement action of the valve rod (24); a rack (98) connected to the valve rod (24), for making displacement integrally with the valve rod (24); a pinion (100a, 100b) rotatably supported by a casing (76), for meshing with the rack (98); and a pillow member (106a, 106b) connected to the valve disk (26) and formed with a male thread (108) for making engagement with a female thread (102) formed on an inner circumferential surface of the pinion (100a, 100b).

Description

Λ ΛΛ Λ

五、發明說明(i ) [發明背景] [發明領域] 本發明有關一種可打開/關閉譬如壓力流體或氣體的 流動通道或排放通道之閘閥。 [相關技藝之說明] 目前用以處理半導體晶圓、液晶基板等之裝置’多半 必須進行將半導體晶圓、液晶基板等經由通道放入及取出 種處理室之操作《並在該通道中設置用以打開/關閉該通 道之閘閥。 此一閘閥係戈排如下,譬如在曰本專利第2613171號 中所敘述者。亦即閥盤按照閥桿之直線運動而到達抵住閥 座的位置’該閥桿係可按照氣缸之驅動動作而位移者。在 此之後,該閥盤係壓抵著該閥座’且其藉著該閥桿之傾斜 動作之輔助而安坐在該閥座上。因而關閉貫穿閥箱(vaHe box)而形成之通道。 亦即’如第8及9圖所示,有關該習知技術之閘閥1 包含.形成有用以放入及取出工件之通道2之閥室3;藉 著安坐在形成於該閥室3内之閥座4上以關閉該通道2之 閥盤5,及連接至該閥盤5且設成可傾斜及可上下移動之 閥桿6 口 塊體7係連接至該閥桿6之上部。可沿著導引溝槽 1〇(看第10圖)位移而分別形成在一對氣缸8a,8b之氣缸管 9兩側表面之樞軸1丨係固定至該塊體7之兩側表面, 該塊體7係設成按照該導引溝槽丨0之導引作用而可傾 本妨7^遺用*賴 裝--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員X消費合作社卬製 312094 4 5 5 65 9 Λ7 ^濟部智髮財產局員工消費合作社印製 ______J7__ 五、發明說明(2 ) 斜及可上下移動’該導引溝槽1〇係與該柩袖11銜接。氣 缸管9、塊體7、及樞軸11均由金屬材料製成。 換句話說’該塊體7按照與樞軸11相銜接之導引溝槽 10之導引作用而藉著拉伸彈簧12之輔助與軛架13 —體地 於垂直方向作直線運動。該塊體7繞著樞轴11之支撐點於 該箭頭Α之方向中作傾斜運動,此時樞軸1]t係由導引溝槽 10之彎曲下端l〇a(看第1〇圖)所支撐。因此,該閥盤5繞 著樞轴11之支撐點於該箭頭B之方向中傾斜,而坐落在該 閥座4上《如此’以氣密之方式封閉該通道2。 參考數字14表示具有菱形剖面之板狀凸輪。該傾斜之 板狀凸輪14係大體而言水平地位移,因此該塊體7繞著該 導引溝槽10下端l〇a之支撐點在該箭頭A之方向中傾斜。 然而’上述習知技術之閘閥1,係配置成使得該閥桿6 按照該對氣缸8a,8b之驅動動作而作垂直移動及傾斜移 動’及藉著連接至該閥桿6之閥盤5關閉該通道。因此, 於有關該習知技術之閘閥1的例子中,該閘閥1在使用多 年後’藉由該閥盤5安座在該閥座4上以關閉該通道2之 密封性能有變差之虞。 [發明概要] 本發明之一般目的係提供一種藉由使閥盤以大致垂直 之方向麼住及密封閥箱之閥座,而可避免灰塵或類似物因 磨損而產生之閘閥。 本發明之主要目的係提供一種藉由以閥盤可靠地密封 閥箱之通道’而可改善密封該通道之密封性能之閘閥。 本紙張尺度適用t國國家標準(CNS)A4規格(21〇 297公釐) 2 312094 --------------裝—— (請先閱讀背面之注意事項再填 f頁) · .線· Λ7 ------- 五、發明說明(3 ) 本發明之上述及其他目的、特徵及優點,透過以下配 合附圖所作的說明,^ 'F J ^ 將更加清楚,其中之附圖係以圖例方 式顯示本發明的較佳實施例。 [圖式簡單說明】 第1圖顯不根據本發明之實施例之閘闕的概要透視 圖; ι.Λ 第2圖顯示取自沿著第1圖中所示剖面線ιι-π之垂直 '視圖; 第3圖以局部省略方式顯示取自沿著第2圖中所示剖 面線ΠΙ-ΙΙΙ之垂直剖視圖; 第4圖顯示取自第2圖中軸向之局部垂直剖視圖; 第5圖顯示活塞位於下死點之初始位置時的垂直剖視 圖, 第6圖顯示活塞抵達上死點時的垂直剖視圖; 第7圖以局部省略方式顯示閥盤從第3圖所示狀態以 1致垂直的方向向—通道移動之狀態的垂直剖視圖; 第8圖顯示用以說明習知閘閥之取自軸向之垂直剖視 圖, 第9圖顯示用以說明習知閘閥之取自袖向之垂直剖視 rs · 圍1 第10圖顯示用以説明構成習知閘閥之氣缸管的透視 圖。 [較佳實施例之說明] 於第1圖中,參考數字表示根據本發明實抱例之閘 闼家龄W ϋ ϊ -- ' 312094 ϊ I I 丨 11 I I * I — (請先閱讀背面之注意事項再填寫本頁) 線. 經濟部智慧財產局員工消費合作社印制仅 ^^659 ^^659 經濟部智慧財J局員工消費合作社印製 A7 .— B7 — 五、發明說明(4 ) 閥。 該閘閥20包含驅動部份22、按照該驅動部份22之驅 動動作而可於該垂直方向中位移之閥桿24、按照該閥桿24 之位移動作而打開/關閉一通道(如稍後敘述者)之閥盤 26、及設在該閥桿24及該閥盤26之間用以使該閥盤26 朝向該通道作前後移動之位移機構28。 閥箱30係藉著螺絲構件之輔助與該驅動部份22的上 部相連接。用以使大氣與真空室相通之通道32係設於該閥 室3〇(看第3圖)》該閥盤26係安坐在形成於該閥室30内 壁表面之閥座34上’因而以氣密之方式封閉該通道32» 密封構件36係沿著一環狀溝槽安裝至該閥盤26。當該閥 盤20安座在該閥座34上時所獲得之氣密性,可藉由該密 封構件36而維持。 如第2及3圖所示’該駆動部份22係由氣缸機構(驅 動機構)44所構成。該氣缸機構44包含形成具有圓柱形狀 之氣红管46、連接至該氣缸管46之第一端部之桿蓋48、 及連接至該氣缸管46之第二端部之頭蓋50。兩條用以安 裝感測器之長溝槽52a,52b係形成在該氣缸管46之外壁表 面上(看第4圖)’該兩長溝槽大體而言係彼此平行及在軸 向延伸β 一對用以偵測後述之活塞位置之未示出的感測器 係安裝至該用以安裝感測器之長溝槽52a,52b。 如第4圖中所示’一對壓力流體之進出口 5 4a, 54 b係 分別形成在該桿蓋48及該頭蓋50上,該兩進出口係如稍 後所述分別與上氣缸室及下氣缸室相通。 --------------^---- (请先閱讀背面之注意事項再填本頁〉 訂. 本紙張尺·度適用中國國家標準(CNS)A4規格(21〇 χ 297公釐) 4 3120945. Description of the Invention (i) [Background of the Invention] [Field of the Invention] The present invention relates to a gate valve that can open / close a flow passage or a discharge passage such as a pressure fluid or gas. [Explanation of Related Techniques] Most devices currently used to process semiconductor wafers, liquid crystal substrates, etc., must perform operations for placing and removing semiconductor wafers, liquid crystal substrates, etc. into and out of processing chambers through channels. To open / close the gate valve of the channel. This gate valve is arranged as follows, for example, as described in Japanese Patent No. 2613171. That is, the valve disc reaches a position against the valve seat in accordance with the linear movement of the valve stem. The valve stem can be displaced according to the driving action of the cylinder. After that, the valve disc is pressed against the valve seat 'and is seated on the valve seat with the assistance of the tilting action of the valve stem. Thus, the passage formed through the vaHe box is closed. That is, as shown in FIGS. 8 and 9, the gate valve 1 related to the conventional technology includes a valve chamber 3 that forms a channel 2 for inserting and removing a workpiece; by being seated in a valve chamber 3 formed in the valve chamber 3 A valve disc 5 on the valve seat 4 to close the channel 2 and a valve stem 6 port block 7 connected to the valve disc 5 and arranged to be tiltable and movable up and down are connected to the upper part of the valve stem 6. The pivots 1 丨 formed on the two sides of the cylinder tube 9 of a pair of cylinders 8a, 8b can be displaced along the guide groove 10 (see FIG. 10) and fixed to the both sides of the block 7, The block 7 is set to be able to be tilted according to the guiding effect of the guide groove 丨 0. ^ Legacy * Lay out -------- order --------- line (Please read the notes on the back before filling out this page) Member of the Intellectual Property Bureau of the Ministry of Economic Affairs X Consumer Cooperative Cooperative System 312094 4 5 5 65 9 Λ7 ^ Printed by the Consumer Cooperative of the Ministry of Economic Affairs and Intellectual Property Bureau ______J7__ V. Description of the Invention (2 ) The guide groove 10 is inclined and movable up and down. The guide groove 10 is connected to the sleeve 11. The cylinder tube 9, the block 7, and the pivot shaft 11 are all made of a metal material. In other words, according to the guiding action of the guide groove 10 connected to the pivot shaft 11, the block 7 moves linearly in the vertical direction with the aid of the tension spring 12 and the yoke 13 as a whole. The block 7 makes a tilting movement in the direction of the arrow A around the support point of the pivot shaft 11. At this time, the pivot shaft 1] t is the curved lower end 10a of the guide groove 10 (see FIG. 10). Supported by. Therefore, the valve disc 5 is inclined around the support point of the pivot 11 in the direction of the arrow B, and is seated on the valve seat 4 so as to "close" the passage 2 in an airtight manner. Reference numeral 14 denotes a plate cam having a rhombus section. The inclined plate cam 14 is generally horizontally displaced, so the block 7 is inclined in the direction of the arrow A around the support point of the lower end 10a of the guide groove 10. However, the above-mentioned conventional gate valve 1 is configured such that the valve stem 6 moves vertically and tilted according to the driving action of the pair of cylinders 8a, 8b, and is closed by the valve disc 5 connected to the valve stem 6. The channel. Therefore, in the example of the gate valve 1 related to the conventional technology, the gate valve 1 may be seated on the valve seat 4 by the valve disc 5 to close the passage 2 after being used for many years. [Summary of the Invention] A general object of the present invention is to provide a gate valve that can prevent dust or the like from being worn due to abrasion by blocking and sealing a valve seat of a valve box in a substantially vertical direction. The main object of the present invention is to provide a gate valve which can improve the sealing performance of the passage by reliably sealing the passage of the valve box 'with a valve disc. The size of this paper is applicable to the national standard (CNS) A4 specification (21〇297 mm) 2 312094 -------------- installed-(Please read the precautions on the back before filling in f (Page) · · Line · Λ7 ------- V. Description of the invention (3) The above and other objects, features, and advantages of the present invention will be made clearer by the following description made in conjunction with the drawings, ^ 'FJ ^ The accompanying drawings show preferred embodiments of the present invention by way of illustration. [Brief Description of the Drawings] Figure 1 shows a schematic perspective view of a sluice according to an embodiment of the present invention; ι.Λ Figure 2 shows a vertical direction taken along the section line ιι-π shown in Figure 1 ' View; Figure 3 shows a partially omitted vertical cross-sectional view taken along the section line II-III of Figure 2; Figure 4 shows a partial vertical cross-sectional view taken from the axial direction in Figure 2; Figure 5 shows Vertical sectional view when the piston is in the initial position of the bottom dead center. Figure 6 shows the vertical sectional view when the piston reaches the top dead center. Figure 7 shows the valve disc from the state shown in Figure 3 in a vertical direction from a state shown in Figure 3 in a partially omitted manner. A vertical sectional view of the state of moving to the channel; FIG. 8 shows a vertical sectional view taken from the axial direction to explain the conventional gate valve, and FIG. 9 shows a vertical sectional view taken from the sleeve direction to illustrate the conventional gate valve rs · Fig. 10 shows a perspective view for explaining a cylinder tube constituting a conventional gate valve. [Explanation of the preferred embodiment] In Figure 1, the reference numerals indicate the gate age W W ϊ-'312094 ϊ II 丨 11 II * I — (Please read the note on the back first) Please fill in this page again for the matters.) Line. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs only ^^ 659 ^^ 659 Printed by the Consumer Cooperatives of the Bureau of Intellectual Property of the Ministry of Economic Affairs A7. — B7 — V. Description of the invention (4) Valve The gate valve 20 includes a driving part 22, a valve stem 24 that can be displaced in the vertical direction according to the driving action of the driving part 22, and opens / closes a passage according to the displacement action of the valve stem 24 (as described later) Or) a valve disc 26 and a displacement mechanism 28 provided between the valve stem 24 and the valve disc 26 to make the valve disc 26 move forward and backward toward the passage. The valve box 30 is connected to the upper portion of the driving portion 22 by the aid of a screw member. A passage 32 for communicating the atmosphere with the vacuum chamber is provided in the valve chamber 30 (see FIG. 3). The valve disc 26 is seated on a valve seat 34 formed on the inner wall surface of the valve chamber 30. The passage 32 is closed in a dense manner. A sealing member 36 is mounted to the valve disc 26 along an annular groove. The airtightness obtained when the valve disc 20 is seated on the valve seat 34 can be maintained by the sealing member 36. As shown in Figs. 2 and 3, the driving portion 22 is constituted by a cylinder mechanism (driving mechanism) 44. The cylinder mechanism 44 includes a gas red tube 46 having a cylindrical shape, a rod cover 48 connected to a first end portion of the cylinder tube 46, and a head cover 50 connected to a second end portion of the cylinder tube 46. Two long grooves 52a, 52b for mounting the sensor are formed on the outer wall surface of the cylinder tube 46 (see FIG. 4). The two long grooves are generally parallel to each other and extend in the axial direction. A sensor (not shown) for detecting a position of a piston described later is mounted to the long grooves 52a, 52b for mounting the sensor. As shown in Figure 4, a pair of inlets and outlets 5 4a, 54 b of the pressure fluid are respectively formed on the rod cover 48 and the head cover 50, and the two inlets and outlets are respectively connected with the upper cylinder chamber and The lower cylinder chamber communicates. -------------- ^ ---- (Please read the precautions on the back before filling this page> Order. This paper rule · degrees are applicable to China National Standard (CNS) A4 specifications (21 〇χ 297 mm) 4 312094

經濟部智慧財產局員工消費合作社舛S Ή 2094 Λ7 B7 五、發明說明(5 ) 如第2圖中所示,該氣缸機構44包含可在該氣缸管 46中沿著氣缸室58位移之活塞60,及使其第一端部連接 至该活塞60及使其第·一端部連接至遠位移機構28之闊桿 24 ° 安裝至該活塞60之零件包括用以維持藉著該活塞6〇 一分為二之上氣缸室5 8a及下氣缸室5 8b各自的氣密性之 活塞填密件(piston packing)62、沿著該氣缸管46之内壁表 作滑行移動之磨環64、及環形磁鐵66。該磁鐵66係可 與該活塞60 —起位移。藉著使用安裝至該用以安裝感測器 之長溝槽52a,52b之未示出的感測器偵測該磁鐵66之磁性 即可偵測該活塞60之位置。 一對桿件68a,68b係連接至該活塞60,以致該對桿件 68a,68b大體而言係平行於該閥桿24。該桿件68a 68b之 第一端部係插入導引轴桿70a,70b之孔洞72。彈簧構件74 係配置在該導引軸桿7〇a,70b之孔洞72中。藉著該彈簧構 ·’ 74之彈力使得該對桿件68a 68b總是呈壓向該活塞 60(於往下之方向中)之狀態。因此’將該桿件68a,68b設 成可抵抗該彈簧構件74的彈力而沿著該孔洞72位移, 該導?丨轴桿70a,70b之第一端部係連接至構成該位移 機構28之喊件(境件)76。停止器(stopper)78係連接至位在 該相反側上之第一端部,每一停止器7 8係形成有輻射狀向 外大出之環狀擴展部份2於這配置中’該停止器78緊靠抵 庄板狀緩衝構件80 ’此板狀緩衝構件係固定至該桿蓋48 以限制該導引轴桿7Ga,7Gb之位移。形成在該桿件68a,68b -------------裝--------訂---------線 (琦先閱讀背面之注意事項再填寫本頁) ^55659 A7 —_____B7__ 五、發明說明() ~--- 6 第一端部之環狀固定部份82緊靠抵住該停止器78 巧周 邊突出部份。因而具有可防止該桿件68a,68b脫離該導引 轴桿70a,70b之功能。 具有小直徑之第一通孔84係形成在該桿蓋48之大致 中心部份’該閥桿24係由該通孔84插入。一對第二通孔 86a,86b係形成穿過該桿蓋48,該對導引軸桿7〇a7〇b係 分別插入穿過該第二通孔’而該第一通孔84係介於該_對 第二通孔之間。 密封構件88係安裝至該第一通孔84之内圓周表面, 而藉著包圍該閥桿24之外圓周表面以維持該氣缸室58之 氣密性。環狀凹部90係形成在該内圓周表面上,而具有排 出施加至該閥桿2 4之外圓周表面之潤滑油之排出溝槽功 能。 圍繞著該對導引轴桿70a, 70b之外圓周表面之導環92 係女裝至該第二通孔86a,86b之内圓周表面上之環狀溝 槽。該導環92係設成同時具有維持該氣缸室58之氣密性 之密封功能及使該導引軸桿70 a,70b作線性位移之導引功 能。 該位移機構28具有連接至該對導引軸桿70a70b之第 一端部及可在軸向與該對導引軸桿70 a, 7 Ob —起位移之殼 件76。該殼件76包括外殼及以螺絲構件94固定之蓋件(看 第3圖)。具有大致圓形剖面之腔室96係形成在該殼件76 内部。該閥桿24之第一端部係設成可插入該腔室96之内 部。 --------------裝--- ί請先閱讀背面之注意事項再填 个頁) . -線- 經濟部%慧財產局員X消費合作社印:*衣 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 6 312094 Λ: Β7 經濟部智慧財產局員工消費合作钍印裝 五、發明說明( 如第2及3圖中所示,齒條(rack)98係藉著螺絲構件 之輔助連接至該閥桿24之第一端部。一對齒狀物係形成在 該齒條98之相反的兩側邊部份,且大體而言係彼此平行地 形成。分別由該殼件76予以可旋轉地支撐之第一小齒輪 100a及第二小齒輪l〇〇b,係具有分別與該對齒條98之齒 狀物嚙合之齒狀物。母螺紋1〇2係分別形成在該第一小齒 輪100a及第二小齒輪l〇〇b之内圓周表面上(看第3圖)。 一對麵襯構件(pillow momber)106a,106b係於大致垂 直之方向藉著螺絲構件1 〇4之輔助分別連接至該閥盤26。 公螺紋108係形成在該對軸襯構件106a,106b上,而分別 與形成在該第一小齒輪l〇〇a及第二小齒輪1〇 〇b之内圓周 表面上之母螺紋102嚙合。藉著一對軸承構件110a U〇b 可旋轉地支撐著各個軸襯構件l〇6a,106b。該轴承構件 H 0a,11 Ob係設有多數安排成環狀之滾輪。 形成在該對軸襯構件l〇6a,l〇6b上之公螺紋1〇8係形 •為對應於第一小齒輪l〇〇a及苐二小齒輪l〇〇b之母螺紋 1 02而具有螺旋方向相反的右旋螺紋及左旋螺紋。 於該位移機構28十,該齒條98係與該閥桿24 —起向 上移動。據此,該齒條98之齒狀物係分別與該第一小齒輪 100a及第一小齒輪i〇〇b之齒狀物咬合。該第一小齒輪i〇〇a 及第二小齒輪1 〇〇b係以互相相反的方向旋轉。當該第一小 齒輪100a及苐二小齒輪i〇〇b分別旋轉時,該軸觀構件 106a,106b按照該第―小齒輪100a及第二小齒輪1〇〇b之母 螺紋[02及該軸襯搆件j 〇6a 1〇6b之公螺紋丨〇8間之喷合Employee Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 舛 S Ή 2094 Λ7 B7 V. Description of the Invention (5) As shown in FIG. 2, the cylinder mechanism 44 includes a piston 60 that can be displaced in the cylinder tube 46 along the cylinder chamber 58. And its first end is connected to the wide end of the piston 60 and its first end is connected to the wide rod 24 of the remote displacement mechanism 28. The parts mounted to the piston 60 include to maintain 60 minutes by the piston Piston packing 62 for the airtightness of each of the upper cylinder chamber 5 8a and the lower cylinder chamber 5 8b, a grinding ring 64 for sliding movement along the inner wall surface of the cylinder tube 46, and a ring magnet 66 . The magnet 66 is displaceable from the piston 60. The position of the piston 60 can be detected by using a non-illustrated sensor mounted to the long grooves 52a, 52b for mounting the sensor to detect the magnetism of the magnet 66. A pair of rod members 68a, 68b are connected to the piston 60 so that the pair of rod members 68a, 68b are substantially parallel to the valve rod 24. The first ends of the rod members 68a to 68b are inserted into the holes 72 of the guide shafts 70a and 70b. The spring member 74 is disposed in the holes 72 of the guide shafts 70a and 70b. By the spring force of the spring mechanism 74 ', the pair of rods 68a to 68b is always pressed toward the piston 60 (in the downward direction). Therefore, 'the rods 68a, 68b are arranged to be displaced along the hole 72 against the elastic force of the spring member 74, the guide?丨 The first ends of the shafts 70a, 70b are connected to a yoke member (environment member) 76 constituting the displacement mechanism 28. A stopper 78 is connected to the first end on the opposite side, and each stopper 7 8 is formed with a ring-shaped extended portion 2 that is outwardly radiating outward in this configuration. The device 78 abuts against the plate-shaped cushioning member 80 'This plate-shaped cushioning member is fixed to the rod cover 48 to limit the displacement of the guide shafts 7Ga, 7Gb. Formed on the rods 68a, 68b ------------- install -------- order --------- line (Qi first read the precautions on the back before (Fill in this page) ^ 55659 A7 —_____ B7__ 5. Description of the invention () ~ --- 6 The ring-shaped fixing part 82 at the first end abuts against the protruding part of the stopper 78. Therefore, it has a function of preventing the lever members 68a, 68b from being separated from the guide shafts 70a, 70b. A first through hole 84 having a small diameter is formed at a substantially central portion of the stem cover 48. The valve stem 24 is inserted through the through hole 84. A pair of second through holes 86a, 86b are formed through the rod cover 48, the pair of guide shafts 70a, 70b are respectively inserted through the second through holes, and the first through holes 84 are between The _ pair is between the second through holes. A sealing member 88 is mounted to the inner circumferential surface of the first through hole 84, and the airtightness of the cylinder chamber 58 is maintained by surrounding the outer circumferential surface of the valve stem 24. The annular recessed portion 90 is formed on the inner circumferential surface, and has a drain groove function for discharging lubricating oil applied to the outer circumferential surface of the valve stem 24. The guide ring 92 surrounding the outer circumferential surfaces of the pair of guide shafts 70a, 70b is a female groove to an annular groove on the inner circumferential surface of the second through holes 86a, 86b. The guide ring 92 is provided with a sealing function for maintaining the airtightness of the cylinder chamber 58 and a guiding function for linearly displacing the guide shafts 70a, 70b. The displacement mechanism 28 has a first end portion connected to the pair of guide shafts 70a to 70b and a case member 76 capable of being displaced axially with the pair of guide shafts 70a, 7 Ob. The case member 76 includes a case and a cover member fixed with a screw member 94 (see Fig. 3). A cavity 96 having a substantially circular cross section is formed inside the case member 76. A first end portion of the valve stem 24 is configured to be inserted into the cavity 96. -------------- Install --- ί Please read the precautions on the back before filling in a page). -Line-% Hui Property Bureau Member of the Ministry of Economic Affairs X Consumer Cooperative Print: * cloth paper The dimensions are applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 public love) 6 312094 Λ: Β7 Employees' cooperation in cooperation with employees of the Intellectual Property Bureau of the Ministry of Economic Affairs 钍 Printing 5. Description of the invention (as shown in Figures 2 and 3, rack (Rack) 98 is connected to the first end of the valve rod 24 by the aid of a screw member. A pair of teeth are formed on opposite sides of the rack 98 and are generally connected to each other. Formed in parallel. The first pinion gear 100a and the second pinion gear 100b, which are rotatably supported by the shell member 76, have teeth that mesh with the teeth of the pair of racks 98, respectively. A female screw thread 102 is formed on the inner peripheral surface of the first pinion gear 100a and the second pinion gear 100b (see FIG. 3). A pair of pillow mombers 106a, 106b are formed approximately. The vertical directions are respectively connected to the valve disc 26 by the assistance of the screw member 104. The male thread 108 is formed on the pair of bushing members 106a, 106b, The female threads 102 formed on the inner peripheral surfaces of the first and second pinion gears 100a and 100b, respectively. Each shaft is rotatably supported by a pair of bearing members 110a and Uob Lining members 106a, 106b. The bearing members H 0a, 11 Ob are provided with a plurality of rollers arranged in a ring shape. A male thread 108 formed on the pair of bushing members 106a, 106b. • It has right-handed and left-handed threads with opposite spiral directions to correspond to the female thread 102 of the first pinion 100a and the second pinion 100b. In the displacement mechanism 28x, the rack 98 It moves upward with the valve stem 24. According to this, the teeth of the rack 98 mesh with the teeth of the first pinion 100a and the first pinion i00b respectively. The first pinion The gear i00a and the second pinion 100b are rotated in opposite directions to each other. When the first pinion 100a and the second pinion i00b are rotated respectively, the shaft view members 106a, 106b are in accordance with The female thread of the first and second pinion gears 100a and 100b [02 and the male thread of the bushing member j 06a 106b] Close

過用 > 郢囡 準(CXS)Ai 規格 d'! >: .X 3i2094 . 装--------訂--------線 Γ清先閱讀背面之注意事項再填寫本頁) 4 5 5 65 9 -----— B7___ 五、發明說明(8 ) 作用朝該通道32突出。因此,連接至該對軸襯構件 l〇6a,l〇6b之間盤26係在大致水平之方向朝向該通道32 f請先閱讀背面之注意事項再填 f頁) 移動°使該密封構件36安座在該閥座34上而封閉該通道 32 〇 於此配置中’有關該位移機構28可獲得以下之優點。 亦即當該閥盤26在大致垂直於該閥桿24軸心之方向(大致 水平之方向)位移時,則沒有不平衡之負載施加至該閥桿 24’且能藉著等量旋轉該對第一小齒輪1〇〇a及第二小齒輪 l〇〇b而移動該閥盤26。 根據本發明實施例之閘閥2〇根本上係如上面所述製 成。以下將說明其操作、功能、及效果。以下之說明將假 設其初始位置係處在打開之狀態中,其中該活塞6〇係位在 該氣缸室58之最下端位置(下死點),且形成穿過該閥箱32 之通道32並未由該閥盤26所封閉,如第5圖中所示。 經濟部智慧財產局員工消費合作社印製 在該初始位置’壓力流體(譬如壓縮空氣)係由壓力流 體供給源(未示出)經由該壓力流體之進出口 54b供給至該 下氣缸室58b。該活塞60係按照供給至該下氣缸室58b之 壓力流體之作用而向上移動。連接至該活塞60之閥桿24 係同樣也以一整體之方式向上移動。於此狀態中,係假設 該上氣缸室58a係按照一未示出之方向控制閥之作用而處 於向大氣開放之狀態中。 當該閥桿24向上移動時,該對桿件68a,68b、該導引 軸桿70a,70b、該位移機構28、及該閥盤26係隨同該閥桿 24以一整體之方式向上移動。於此配置令,配置在該導引 312094 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經 濟 部 智 慧 財 產 局 消 f 合 社 印 Λ7 -------- —__B7_ 五、發明說明(9 ) 軸桿70a,7Ob每一孔洞72中之彈簧構件74之彈力係設定 成具有一預定值。據此’連接至該活塞6〇之導引軸桿 7 0a,70b及該桿件68a,6 8b即一起向上移動。換句話說,該 桿件68a,68b之位移於由第5圖所示的初始位置至第2圖 所示閥盤26面向通道32之狀態的階段不會勝過該彈簧構 件74之彈力。該桿件68a,68b及該導引軸桿7〇a,7〇b係以 一整體之方式位移。 畲該對導引軸桿70a,70b向上移動時,分別藉著該導 環92支撐該對導引軸桿7〇a,7〇b。據此’維持配置於該對 導引軸桿70a,70b間之閥桿24之線性精度。 當該導引軸桿70a,70b係與該閥桿24 一起向上移動 時,設在該導引軸桿7〇3,701)第一端之停止器78係緊靠抵 住固定至該桿蓋48之缓衝構件8〇。據此限制該對導引軸 桿7〇a,70b之位移動作(看第2圖)。因此’連接至該對導 引軸桿70a,70b端部之位移機構28及閥盤%亦維持靜止 -動,而形成該閥盤26係面向該閥箱3〇之通道32之狀姨 (看第3圖)。 ~ 當該活塞60進一舟山楚· ^步由第2圖中所不狀態向上移動時, 克服該彈*構件74之彈力之閥桿“及桿件⑽娘係— 起向上移動’以形成第6圖中所示之狀態。於此配置中, 只有該桿件68a,68b及該閥桿24向上移動該導引’Pass > CXS Ai Specification d '! ≫: .X 3i2094. Install -------- Order -------- Clear Read the precautions on the back first (Fill in this page) 4 5 5 65 9 -----— B7___ V. Description of the invention (8) The effect protrudes towards the channel 32. Therefore, the disk 26 connected to the pair of bushing members 106a and 106b faces the channel 32 in a substantially horizontal direction. F Please read the precautions on the back before filling in f.) Move the seal member 36 The seat 32 is seated on the valve seat 34 and the passage 32 is closed. In this configuration, the following advantages can be obtained with respect to the displacement mechanism 28. That is, when the valve disc 26 is displaced in a direction substantially perpendicular to the axis of the valve stem 24 (a substantially horizontal direction), no unbalanced load is applied to the valve stem 24 'and the pair can be rotated by an equal amount. The first pinion 100a and the second pinion 100b move the valve disc 26. The gate valve 20 according to the embodiment of the present invention is basically made as described above. The operations, functions, and effects will be described below. The following description will assume that its initial position is in the open state, in which the piston 60 is located at the lowest position (lower dead center) of the cylinder chamber 58 and forms a passage 32 through the valve box 32 and It is not closed by the valve disc 26, as shown in FIG. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs At this initial position, a pressure fluid (such as compressed air) is supplied from a pressure fluid supply source (not shown) to the lower cylinder chamber 58b through the inlet and outlet 54b of the pressure fluid. The piston 60 moves upward in accordance with the action of the pressure fluid supplied to the lower cylinder chamber 58b. The valve stem 24 connected to the piston 60 also moves upwards in an integrated manner. In this state, it is assumed that the upper cylinder chamber 58a is in a state opened to the atmosphere in accordance with the action of a direction control valve not shown. When the valve rod 24 moves upward, the pair of rod members 68a, 68b, the guide shafts 70a, 70b, the displacement mechanism 28, and the valve disc 26 move upward along with the valve rod 24 in an integrated manner. In this configuration order, the paper size of this guide is 312094. This paper size is applicable to the Chinese National Standard (CNS) A4 (210 X 297 mm). Intellectual Property Bureau of the Ministry of Economic Affairs. __B7_ V. Description of the Invention (9) The spring force of the spring member 74 in each hole 72 of the shafts 70a, 7Ob is set to have a predetermined value. Accordingly, the guide shafts 70a, 70b connected to the piston 60 and the rod members 68a, 68b move upward together. In other words, the displacement of the lever members 68a, 68b from the initial position shown in Fig. 5 to the state where the valve disc 26 faces the passage 32 shown in Fig. 2 does not exceed the elastic force of the spring member 74. The levers 68a, 68b and the guide shafts 70a, 70b are displaced in an integrated manner.时 When the pair of guide shafts 70a, 70b move upward, the pair of guide shafts 70a, 70b are supported by the guide ring 92, respectively. Accordingly, the linear accuracy of the valve rod 24 disposed between the pair of guide shafts 70a, 70b is maintained. When the guide shafts 70a, 70b are moved upward together with the valve rod 24, a stopper 78 provided at the first end of the guide shaft 703,701) is abutted against and fixed to the rod cover 48. Buffer member 80. Accordingly, the displacement of the pair of guide shafts 70a and 70b is restricted (see Fig. 2). Therefore, the displacement mechanism 28 and the valve disc% connected to the ends of the pair of guide shafts 70a and 70b also remain stationary-moving, and the valve disc 26 is formed to face the passage 32 of the valve box 30 (see (Figure 3). ~ When the piston 60 enters Zhoushan Chu · ^ moves upwards from the state shown in Figure 2, the valve rod "and the rod ⑽ system" which overcomes the elastic force of the elastic member 74 * moves upwards to form the sixth The state shown in the figure. In this configuration, only the rods 68a, 68b and the valve rod 24 move the guide upwards.

—及該殼件76則因停止器78之固定作 二I 止不動之狀態β # ____因& ’連接至該間桿24第一端部之齒條98係於該 表纸辑:々."i適申巾國^ Η 2094 裝--------訂---------線----- V請先閉讀背面之注意事項再填寫本頁) 5 5 65 9 Λ7 _______B7__ 五、發明說明(10 ) ί請先閱讀背面之注意事項再填不頁) 移機構28之殼件76維持靜止不動之狀態下與閥桿24 一起 向上移動。據此,該齒條98之齒狀物係分別與該小歯輪 100a及第二小齒輪100b之齒狀物咬合。該第一小齒輪i〇〇a 及第二小齒輪100b係以互相相反之方向旋轉。 當該第一小齒輪100a及第二小齒輪i〇〇b以互相相反 之方向旋轉時’該軸襯構件I 06a,106b按照該第一小齒輪 l〇〇a及第二小齒輪l〇〇b之母螺紋102及該軸襯構件 106a,106b之公螺紋108間之嚙合作用朝向該通道32突 出。因此’連接至該對軸襯構件1 06 a, 106b之閥盤26即在 大致水平之方向中位移》使該密封構件36安座在該間座 34上而封閉該通道32。 當該閥盤26之密封構件36係按照該位移機構28之凝 策動作壓向該通道32時’藉著形成具有大致橢圓形狀之活 塞60之平面部份112(看第1圖)及該氣缸管46之内壁表面 吸收施加至該閥桿24之橫向負载。藉著該導環92及該磨 環64吸收分別施加至該對導引軸桿70a,70b之橫向負載β 因此’最好對該導環92及該磨環64施加低摩擦處理。 經濟部智慧財產局員工消費合作社印製 隨後當該閥盤26係由該間座34分開以打開該通道32 時’該壓力流體係按照未圖示之方向控制閥之切換作用供 給至該上氣缸室58a。據此,該活塞60、該閥桿24、該桿 件6 8a,68b、及該齒條98係於以一整體之方式往下移動。 該下氣缸室58b係按照未示出之方向控制閥之切換作用而 處於向大氣開放之狀態中。於此狀態中,與該齒條98之齒 狀物咬合之第一小齒輪100a及第二小齒輪l〇〇b係以與上 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 10 312094 Λ7 五、發明說明( ——. 11 述相反的方向旋轉。分別與該第一小齒輪1 〇〇a及第二小齒 輪】o〇b嚙合之軸襯構件1063,1061)亦向與上述相反的方向 先 閱 讀 背 面 之 ;£ 意 事 項 再 填 寫 φ 本不 頁 移動。據此,該閥盤26係朝離開該通道32的方向位移, 而形成第3圖所示的狀態。 當往下移動該活塞60時,該對導引軸桿7〇a 7〇b按照 該彈簧構件74之彈力作用而向上壓。因此,只有該閥桿、 24係往下移動,而該對導引軸桿7Qa,渴則處於位移受到 .制的狀態中。 當該壓力流鳢係供給至該上氣缸室58a以進一步往下 移動該活塞6G時,該間桿24、該桿件683,6朴、該導引轴 桿7〇a,7〇b、該位移機構28、及該闕盤以係以整體之方式 往下移動,以恢復第5圖中所示之初始位置。當連接至該 活塞之閥桿24係往下移動時,形成在該桿件—,⑽ ^第-端部之環狀較部份_與該停止器78之内圓周 突出部份响合。據此,使該閥桿24及該導引軸桿7〇a,勘 整體之方式位移。— And the case 76 is in a state of being stopped because of the fixing of the stopper 78 β # ____Because & 'The rack 98 connected to the first end of the intermediate rod 24 is attached to the sheet of paper: 々 . " i Applicable country ^ Η 2094 Pack -------- Order --------- Thread ----- V Please close the notes on the back before filling in this page) 5 5 65 9 Λ7 _______B7__ 5. Description of the invention (10) ί Please read the precautions on the back before filling in the pages) The casing 76 of the moving mechanism 28 moves upwards with the valve stem 24 while maintaining a stationary state. Accordingly, the teeth of the rack 98 mesh with the teeth of the pinion gear 100a and the second pinion gear 100b, respectively. The first pinion gear 100 and the second pinion gear 100b rotate in opposite directions. When the first pinion gear 100a and the second pinion gear 100b rotate in opposite directions to each other, the bushing members 106a, 106b follow the first pinion gear 100a and the second pinion gear 100. The engagement between the female thread 102 of b and the male thread 108 of the bushing members 106a, 106b protrudes toward the channel 32. Therefore, the valve disc 26 connected to the pair of bushing members 106a, 106b is displaced in a substantially horizontal direction, so that the sealing member 36 is seated on the intermediate seat 34 to close the passage 32. When the sealing member 36 of the valve disc 26 is pressed toward the passage 32 in accordance with the coagulation action of the displacement mechanism 28, 'by forming a flat portion 112 (see Fig. 1) of the piston 60 having a substantially oval shape and the cylinder The inner wall surface of the tube 46 absorbs a lateral load applied to the valve stem 24. The guide ring 92 and the grinding ring 64 absorb the lateral loads β applied to the pair of guide shafts 70a, 70b, respectively. Therefore, it is preferable to apply a low friction treatment to the guide ring 92 and the grinding ring 64. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs and then when the valve disc 26 is separated by the compartment 34 to open the passage 32, the pressure flow system controls the switching action of the valve to the upper cylinder in a direction not shown Chamber 58a. Accordingly, the piston 60, the valve rod 24, the rod members 68a, 68b, and the rack 98 are moved downward in an integrated manner. The lower cylinder chamber 58b is opened to the atmosphere in accordance with the switching action of a direction control valve not shown. In this state, the first pinion gear 100a and the second pinion gear 100b that mesh with the teeth of the rack 98 are in accordance with the Chinese paper standard (CNS) A4 (210 X 297) in accordance with the above paper size. (Public love) 10 312094 Λ7 V. Description of the invention (——. 11 rotates in opposite directions as described above. Bushing members 1063, 1061 that mesh with the first pinion 100a and the second pinion] o〇b, respectively) Also read the reverse of the above in the opposite direction; please fill in the notes and move the pages. As a result, the valve disc 26 is displaced in a direction away from the passage 32 to form the state shown in FIG. 3. When the piston 60 is moved downward, the pair of guide shafts 70a to 70b is pressed upward in accordance with the elastic force of the spring member 74. Therefore, only the valve stem and the 24 series are moved downward, and the pair of guide shafts 7Qa is in a state where displacement is controlled. When the pressure stream is supplied to the upper cylinder chamber 58a to move the piston 6G further down, the intermediate rod 24, the rod members 683, 6b, the guide shaft rods 70a, 70b, the The displacement mechanism 28 and the disc are moved downward in an integrated manner to restore the initial position shown in FIG. 5. When the valve rod 24 connected to the piston moves downward, a ring-shaped portion at the end of the rod-, ^^-end portion and the protruding portion of the inner circumference of the stopper 78 are engaged. Based on this, the valve rod 24 and the guide shaft 70a are displaced in an integral manner.

蛵濟部智慧財產局員工消費合作.社印S 於本發明之實施例中,該 A证移機構2 8係設在該閥桿 24之端部,使該閥盤26於大 、八双艰十之方向朝向該通道32 來回移動。據此,藉荖命問般κ Λ 猎者該閥盤26之密封構件36可靠地封 閉該通道32。而可改盖μ μ 〜 文。該通道32之密封性。於此例中’ °玄閥盤2 6之密封構件3 6後η 4· μ 1 ^ 偁午6係以大致垂直於該閥座34之方向 女座在閥座34上:因此,該密 忑在封構件36安座在閥座34 上時不會發生滑動,而可 甘仏 避免任何灰塵或類似物之產生。 其結果是可維持該閥箱3()内之清潔, 312094 5 9 Α7 Β7 五、發明說明(12 ) "" " -- 於本發明之實施财,該間盤26係可按照該位移機捐 28之驅策動作朝向該通道32來回移動,而使該密封構件 36安坐在該閥座34上。據此,該閥桿24僅只作往復之直 線運動’而不會有任何傾斜移動β因此可減少施加至該 氣缸機構44之負載’及可改善該氣缸機構“之耐用性。 當藉著該閥盤26封閉該通道32時,藉著形成具有大 致橢圓形狀之活塞60之平面部份112及該氣紅管钧之内 壁表面適當地吸收施加至該間桿24之橫向負載。因此,可 能減少施加至該氣缸機構44之負載τ及可進一步改善其耐 用性。而不須特別加人任㈣以吸收該橫向負載之結構構 件。因此,可減少愛杜夕缸β _ [元件符號說明] 20 閘閥 22 驅動部分 24 閥桿 26 閥盤 28 位移機構 32 通運 34 閥座 36,88 密封構件 44 氣缸機構 46 乳知!:管 經 濟 部 智 慧 54a,54b 60 壓力流體進出口 活塞 58s58a,58i> 64 氣缸;1; 磨環 財 產 局 員 二 68a,68b 桿件 70a,70b 導弓丨軸桿 74 彈簧構件 78 停止器 費 合1 82 環狀固定部分 98 齒條 η 印 100a,100b 小齒輪 102 母螺紋 106a,〗06b軸襯構件 108 公螺紋 本紙话凡度過用中國四冢標準(CNS)A4規格(210 X 297公釐) — 12 Γ 312094 Λ; _Β7_ 五、發明說明(13 ) 110a,110b軸承構件 112 平面部分 -------------衣 *-- 請先閱讀背面之注音'~事項再填寫本頁) . 經濟部智慧財產局員工消費合作社印製 一fs; I家 U--ir 112094Consumers' cooperation with the Intellectual Property Bureau of the Ministry of Economic Affairs. In the embodiment of the present invention, the A certificate transfer mechanism 28 is provided at the end of the valve rod 24, so that the valve plate 26 The direction of ten moves back and forth toward the channel 32. According to this, the seal member 36 of the valve disc 26 securely closes the passage 32 by a fatal problem. And can be changed to cover μ μ ~ text. The tightness of the channel 32. In this example, the sealing member 36 of the valve seat 2 6 and the rear η 4 · μ 1 ^ Noon 6 is a female seat on the valve seat 34 in a direction substantially perpendicular to the valve seat 34: Therefore, the key No sliding occurs when the sealing member 36 is seated on the valve seat 34, but any dust or the like can be avoided. As a result, the valve box 3 () can be kept clean. 312094 5 9 Α7 Β7 V. Description of the invention (12) " " "-For the implementation of the present invention, the disc 26 can be The driving action of the displacement machine 28 moves back and forth toward the channel 32, so that the sealing member 36 is seated on the valve seat 34. According to this, the valve rod 24 only performs a reciprocating linear motion 'without any tilting movement β, thereby reducing the load applied to the cylinder mechanism 44' and improving the durability of the cylinder mechanism ". By this valve When the disk 26 closes the channel 32, the lateral load applied to the intermediate rod 24 is appropriately absorbed by forming the flat portion 112 of the piston 60 having a substantially elliptical shape and the inner wall surface of the gas red tube Jun. Therefore, the application may be reduced The load τ to the cylinder mechanism 44 can further improve its durability. There is no need to add any special structural members to absorb the lateral load. Therefore, the Love Duxie cylinder β _ [component symbol description] 20 gate valve 22 Drive section 24 Valve stem 26 Valve disc 28 Displacement mechanism 32 Transport 34 Valve seat 36, 88 Seal member 44 Cylinder mechanism 46 Nakatsuki !: Wisdom of Ministry of Economic Affairs 54a, 54b 60 Pressure fluid inlet and outlet piston 58s58a, 58i > 64 cylinder; 1; Grinding ring property bureau member 68a, 68b Rod 70a, 70b Guide bow 丨 Shaft 74 Spring member 78 Stopper fee 1 82 Ring-shaped fixed part 98 Rack η Printed 100a, 100b Pinion gear 102 female thread 106a, 06b bushing member 108 male thread paper, if you pass through the Chinese Shitsuka standard (CNS) A4 specifications (210 X 297 mm) — 12 Γ 312094 Λ; _Β7_ V. Description of the invention (13 ) 110a, 110b bearing member 112 plane part ------------- clothing *-Please read the note on the back '~ Matters before filling out this page). Make one fs; I U--ir 112094

Claims (1)

9 5 6 5 5 4 A8B8C8D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 1,一種閘閥,包括: 驅動機構(22); 按照該驅動機構(22)之驅動動作而位移之閥桿 (24); 用以引導該閥桿(24)之導引輛桿(70a,7〇b” 按照該閥桿(2 4)之位移動作而打開/關閉形成為穿 過閥室(30)之通道(32)之閥盤(26);以及 設有連接至該導引轴桿(70a,70b)之塊件(76)之位 移機構(2 8)’用以於大致垂直於該閥桿(24)軸心之方向 移動該閥盤(26),其中: 該位移機構(28)包括:連接至該閥桿(24)之齒條構 件(98) ’其係與該閥桿(24) —體位移;由該塊件(76)予 以可旋轉地支撐之小齒輪(11 0a,l 10b),用以與該齒條構 件(98)嚙合:及連接至該閥盤(26)並形成有公螺紋(108) 之軸襯構件(106a, 106b) ’該公螺紋(108)與形成在該小 齒輪(11 0a,ll Ob)内圓周表面上之母螺紋(1〇2)嚙合。 2 如申請專利範圍第1項之閘閥,其中用以限制該導引軸 桿(70a,70b)之位移之停止器(78)係設在該導引軸桿 (70a,70b)之一端部。 3. 如申請專利範圍第1項之閘閥,其中該驅動機構係由設 有沿著氣缸管(46)作往復運動之活塞(60)之氣缸機構所 構成,且該活塞(60)係形成具有大致橢圓形之形狀。 4. 如申請專利範圍第2項之閘閥,進一步包括:連接至活 塞(60)之桿件(68a,68b);及配置在該導引軸桿(70a,70b) 裝·-------訂—------線 (請先閱讀背面之注意事項再填 4頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 14 312094 A8B8C8D8 經濟部智慧財產局員工消費合作杜^絮 312094 六、申請專利範圍 之孔洞(72)中之彈簧構件(74) ’用以將該桿件(68a 68b) 壓向該活塞(60);其中當按照該停止器(78)之固定作用 限制該導引軸桿(70a,70b)之位移時,該桿件(68a,68b) 係可抵住該彈簧構件(74)之彈力而與該閥桿(24)一體位 移。 5.如申請專利範圍第4項之閘閥,其中該一對桿件 (68a,68b)係設在兩側,而連接至該活塞(6〇)之大致中心 部份之閥桿(2 4)係介於其間。 6‘如申請專利範圍第1項之閘閥,其中該一對導引軸桿 (7〇a,70b)係以使該閥桿(24)介於其間的方式設置。 7. 如申請專利範圍第〗項之閘閥,其中一對大致平行之齒 狀物係形成在該齒條構件(9 8)之相反兩側邊部份,且該 小齒輪包括分別與該對齒條構件(98)之齒狀物咬合之 第一小齒輪(100a)及第二小齒輪U〇〇b)。 8. 如申請專利範圍第7項之閘閥,其中該一對軸襯構件 (106a,106b)係分別對應於該第—小齒輪(1〇〇a)及該第 二小齒輪(100b)之母螺紋(1〇2)而具有公螺紋(108),該 公螺紋(1 08) ’係設定成具有相反螺旋方向之右旋螺紋 與左旋螺紋。 9'如申請專利範圍第3項之閘閥,其中形成為大致具有橢 圓形开> 狀之活塞(6 〇)係設有平面部分(1 1 2 ),用以藉著使 其與該氣缸管(46)之内壁表面形成接觸而吸收施加至 該間桿(24)之橫向負載3 ! 0如申請專利範圍第9項之閘閥·其中用以吸收施加至該 裝--------訂---------線 <請先閲讀背面之注意事項再填寫本頁) 45 5 65 9 A8 B8 C8 D8 六、申請專利範圍 導引軸桿(7〇a,7〇b)之橫向貞載之磨環(Μ)係安裝至該 活塞(60)。 11,如申請專利範圍帛3項之閘閥,其中桿蓋(48)係設在該 私缸管(46)之一端部;且用以吸收施加至該導引轴桿 (70a,70b)之橫向負載之導環(92)係安裝至該桿蓋(48)之 通孔(86a,86b) ’用以穿過該通孔(86a,86b)插入該導引轴 桿(70a,70b)。 -------- 1 C請先Μ讀背面之注意事項再填本頁w 訂---------線 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 16 ΪΪ加 94.9 5 6 5 5 4 A8B8C8D8 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. 6. Patent application scope 1. A gate valve includes: a drive mechanism (22); a valve stem that is displaced in accordance with the drive action of the drive mechanism (22) (24); The guide rod (70a, 70b) for guiding the valve rod (24) is opened / closed to pass through the valve chamber (30) according to the displacement action of the valve rod (24). A valve disc (26) of the channel (32); and a displacement mechanism (28) provided with a block (76) connected to the guide shaft (70a, 70b) to be substantially perpendicular to the valve stem ( 24) The valve disc (26) is moved in the direction of the axis, wherein: the displacement mechanism (28) includes: a rack member (98) connected to the valve stem (24) 'which is connected with the valve stem (24) — Body displacement; a pinion (11 0a, l 10b) rotatably supported by the block (76) for meshing with the rack member (98): and connected to the valve disc (26) and formed with Bushing member (106a, 106b) of the male thread (108) 'The male thread (108) is engaged with a female thread (102) formed on an inner circumferential surface of the pinion (110a, 110b). 2 The gate valve according to item 1 of the scope of patent application, wherein a stopper (78) for limiting the displacement of the guide shaft (70a, 70b) is provided at one end of the guide shaft (70a, 70b) 3. The gate valve according to item 1 of the scope of patent application, wherein the driving mechanism is composed of a cylinder mechanism provided with a piston (60) that reciprocates along a cylinder tube (46), and the piston (60) is Formed with a substantially oval shape. 4. The gate valve according to item 2 of the patent application scope, further comprising: a rod member (68a, 68b) connected to the piston (60); and a guide shaft (70a, 70b) ) Packing -------- Order ------- line (please read the precautions on the back before filling in 4 pages) This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) ) 14 312094 A8B8C8D8 Consumer cooperation of the Intellectual Property Bureau of the Ministry of Economic Affairs 312094 6. The spring member (74) in the hole (72) in the scope of patent application is used to press the rod (68a 68b) against the piston (60 ); Wherein when the displacement of the guide shaft (70a, 70b) is restricted according to the fixed effect of the stopper (78), the The pieces (68a, 68b) are integrally displaceable with the valve stem (24) against the spring force of the spring member (74). 5. The gate valve according to item 4 of the patent application, wherein the pair of rod pieces (68a, 68b) are provided on both sides, and a valve stem (2 4) connected to a substantially central portion of the piston (60) is interposed therebetween. 6 ' The gate valve according to item 1 of the scope of patent application, wherein the pair of guide shafts (70a, 70b) are provided with the valve stem (24) interposed therebetween. 7. For the gate valve according to the scope of the patent application, a pair of substantially parallel teeth are formed on opposite sides of the rack member (98), and the pinion includes a pair of teeth respectively The first pinion gear (100a) and the second pinion gear (OOb) are engaged by the teeth of the bar member (98). 8. For the gate valve according to item 7 of the patent application scope, wherein the pair of bushing members (106a, 106b) respectively correspond to the mother of the first pinion (100a) and the second pinion (100b) The thread (102) has a male thread (108), and the male thread (108) is set to have a right-handed thread and a left-handed thread having opposite spiral directions. 9 'The gate valve according to item 3 of the scope of patent application, wherein the piston (60) formed into an approximately oval opening > shape is provided with a flat portion (1 1 2) for connecting it with the cylinder tube (46) The inner wall surface comes into contact and absorbs the lateral load 3! 0 applied to the inter-rod (24), such as the gate valve of item 9 of the scope of patent application, which is used to absorb the load applied to the device -------- Order --------- line < please read the notes on the back before filling out this page) 45 5 65 9 A8 B8 C8 D8 VI. Patent application guide shaft (7〇a, 7〇b The transverse ring (M) is mounted to the piston (60). 11. For example, a gate valve according to item 3 of the patent application, wherein the rod cover (48) is provided at one end of the private cylinder tube (46); and is used to absorb the lateral direction applied to the guide shaft (70a, 70b). The load guide ring (92) is mounted to the through holes (86a, 86b) of the rod cover (48) 'for inserting the guide shafts (70a, 70b) through the through holes (86a, 86b). -------- 1 C Please read the notes on the back before filling in this page. W Order --------- Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. Standard (CNS) A4 size (210 X 297 mm) 16 plus 94.
TW089126555A 1999-12-21 2000-12-13 Gate valve TW455659B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP36306299A JP3810604B2 (en) 1999-12-21 1999-12-21 Gate valve

Publications (1)

Publication Number Publication Date
TW455659B true TW455659B (en) 2001-09-21

Family

ID=18478412

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089126555A TW455659B (en) 1999-12-21 2000-12-13 Gate valve

Country Status (7)

Country Link
US (1) US6299133B2 (en)
EP (1) EP1111279B1 (en)
JP (1) JP3810604B2 (en)
KR (1) KR100393539B1 (en)
CN (1) CN1162630C (en)
DE (1) DE60038278T2 (en)
TW (1) TW455659B (en)

Families Citing this family (352)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4407875B2 (en) * 2002-02-25 2010-02-03 シャープ株式会社 CHARACTER DISPLAY DEVICE, CHARACTER DISPLAY METHOD, CONTROL PROGRAM FOR CONTROLLING THE CHARACTER DISPLAY METHOD, AND RECORDING MEDIUM CONTAINING THE CONTROL PROGRAM
JP4437743B2 (en) * 2004-12-21 2010-03-24 東京エレクトロン株式会社 Opening / closing mechanism for vacuum processing apparatus and vacuum processing apparatus
US7198251B2 (en) * 2004-12-21 2007-04-03 Tokyo Electron Limited Opening/closing mechanism for vacuum processing apparatus and vacuum processing apparatus using the same
US20070012894A1 (en) * 2005-07-18 2007-01-18 G-Light Display Corp. Vacuum gate valve
US7441747B2 (en) * 2005-07-18 2008-10-28 G-Light Display Corp. Vacuum gate
US7445019B2 (en) * 2006-05-15 2008-11-04 High Vacuum Apparatus Mfg., Inc. Gate valve having service position
JP5338218B2 (en) * 2008-09-18 2013-11-13 Smc株式会社 Double rack and pinion type rocking device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR100933885B1 (en) * 2009-08-07 2009-12-28 주식회사 에이엠지 A gate-valve operating device
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102011001186A1 (en) * 2011-01-17 2012-07-19 Z & J Technologies Gmbh Drive for a slide valve and slide valve
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN202469184U (en) * 2012-02-22 2012-10-03 昆山新莱洁净应用材料股份有限公司 Gate valve
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN102829199B (en) * 2012-05-08 2013-12-25 铜陵天海流体控制有限公司 Non-rising stem gate valve
US9562612B2 (en) * 2012-07-12 2017-02-07 Hva, Llc Pressure control valve assembly
US9086173B2 (en) * 2012-07-19 2015-07-21 Vat Holding Ag Vacuum valve
US9086172B2 (en) * 2012-07-19 2015-07-21 Vat Holding Ag Vacuum valve
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP5652505B2 (en) * 2013-06-05 2015-01-14 株式会社デンソー Valve drive device
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
DE102014001725A1 (en) * 2014-02-07 2015-08-13 Walter Kramer Slide valve, in particular for use in conveyor systems with delivery lines and method for actuating such a slide valve
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) * 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104390023B (en) * 2014-10-31 2018-12-11 张辛悦 Sealing ring locking type slide valve
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
DE102014224500A1 (en) * 2014-12-01 2016-06-02 Robert Bosch Gmbh Valve actuator with multi-stage gear transmission
DE102014224502A1 (en) * 2014-12-01 2016-06-02 Robert Bosch Gmbh Valve actuator with sealed coupling rod
DE102014224503A1 (en) * 2014-12-01 2016-06-02 Robert Bosch Gmbh Valve actuator with position sensor
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3106728A1 (en) * 2015-06-16 2016-12-21 VAT Holding AG Vacuum valve with linear guide unit and valve system with such a valve and actuator
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
KR101727531B1 (en) 2015-08-06 2017-04-17 (주) 세진프리시젼 Gate valve
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US11131394B2 (en) * 2016-10-24 2021-09-28 Vat Holding Ag Closure device mounted to a cylinder-piston drive unit displaceable yoke
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
CN109357031B (en) * 2018-12-11 2023-08-29 大连广的科技有限公司 Gear-driven novel gate valve
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
JP6938828B2 (en) * 2018-12-28 2021-09-22 Smc株式会社 Low noise gate valve
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (en) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR102325469B1 (en) * 2021-05-18 2021-11-15 (주)사이언스프로버 Vacuum gate valve
CN113217650A (en) * 2021-05-20 2021-08-06 黄河水利职业技术学院 Mechanical control pipeline valve
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022114806A1 (en) 2022-06-13 2023-12-14 Vat Holding Ag Valve
CN115264092B (en) * 2022-06-30 2023-04-04 山东宏丰智能装备有限公司 Anti-blocking flat valve

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB171082A (en) * 1920-11-01 1922-05-04 Schumann & Co High pressure slide stop valve with parallel steam-tight surfaces
US1577964A (en) * 1922-10-19 1926-03-23 Israel B Gilbert Automatic regrinding valve
GB273187A (en) * 1927-01-14 1927-06-30 Franz Seiffert Improvements in or relating to parallel sluice valves
US2902252A (en) * 1956-07-30 1959-09-01 Chennie M Ballard Disc valve
GB1529234A (en) * 1974-12-05 1978-10-18 Rappold & Co Gmbh Hermann Slide dampers
US4275866A (en) * 1980-03-27 1981-06-30 Newcon Company Operator for a gate valve
JPH02110766U (en) * 1989-02-21 1990-09-05
JP3143250B2 (en) * 1993-02-16 2001-03-07 バット ホールディング アーゲー Actuator
JP2613171B2 (en) 1993-07-22 1997-05-21 株式会社岸川特殊バルブ Gate valve
JP2766190B2 (en) * 1994-07-28 1998-06-18 入江工研株式会社 No sliding vacuum gate valve
JPH09303320A (en) * 1996-05-07 1997-11-25 Ckd Corp Hydraulic cylinder
JP3791979B2 (en) * 1996-10-02 2006-06-28 太陽鉄工株式会社 Brake device for fluid pressure cylinder
JPH10339377A (en) * 1997-06-06 1998-12-22 Nec Corp Gate valve
JP3056080U (en) * 1998-07-22 1999-02-02 太陽鉄工株式会社 Cylinder with stroke adjustment mechanism

Also Published As

Publication number Publication date
US6299133B2 (en) 2001-10-09
CN1162630C (en) 2004-08-18
KR100393539B1 (en) 2003-09-06
JP2001173805A (en) 2001-06-29
CN1300910A (en) 2001-06-27
JP3810604B2 (en) 2006-08-16
EP1111279A2 (en) 2001-06-27
KR20010062391A (en) 2001-07-07
DE60038278T2 (en) 2009-04-30
EP1111279A3 (en) 2002-10-30
EP1111279B1 (en) 2008-03-12
DE60038278D1 (en) 2008-04-24
US20010004106A1 (en) 2001-06-21

Similar Documents

Publication Publication Date Title
TW455659B (en) Gate valve
TW542881B (en) Poppet valve seal mechanism
TW450876B (en) Clamp apparatus
US5934646A (en) Gate valve and cylinder apparatus
KR101814542B1 (en) Gate valve
JP4238255B2 (en) Vacuum gate valve and method for opening and closing the vacuum gate valve
US20070057214A1 (en) Valve for essentially gastight closing a flow path
TW200534418A (en) Connection device
KR20040024595A (en) Gate valve with delayed retraction of counter plate
JP2015017655A (en) Non-sliding type gate valve
JP2010043714A (en) Suck back valve
TWI647394B (en) Manual valve
JPH06294472A (en) Slow vent valve
JPWO2017130959A1 (en) Fluid controller
JP3379929B2 (en) Butterfly valve
JP2006224256A (en) Suction nozzle device
JP2001027336A (en) Gate valve
JP3771797B2 (en) Gate valve
JP3867514B2 (en) Gripping device
JP2570816Y2 (en) Pneumatic valve
JP2005329474A (en) Sucking device
JPH04117971U (en) bellows seal valve
JP2005163899A (en) Gate valve for vacuum
JP2001012649A (en) Slow exhaust valve
WO2018142718A1 (en) Valve actuator, and diaphragm valve provided with same

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees