US20010004106A1 - Gate valve - Google Patents

Gate valve Download PDF

Info

Publication number
US20010004106A1
US20010004106A1 US09/741,180 US74118000A US2001004106A1 US 20010004106 A1 US20010004106 A1 US 20010004106A1 US 74118000 A US74118000 A US 74118000A US 2001004106 A1 US2001004106 A1 US 2001004106A1
Authority
US
United States
Prior art keywords
rod
valve
pinion
valve rod
gate valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/741,180
Other versions
US6299133B2 (en
Inventor
Kenji Waragai
Tsuneo Ishigaki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SMC Corp
Original Assignee
SMC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SMC Corp filed Critical SMC Corp
Assigned to SMC KABUSHIKI KAISHA reassignment SMC KABUSHIKI KAISHA ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ISHIGAKI, TSUNEO, WARAGAI, KENJI
Publication of US20010004106A1 publication Critical patent/US20010004106A1/en
Application granted granted Critical
Publication of US6299133B2 publication Critical patent/US6299133B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • F16K3/02Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor
    • F16K3/16Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together
    • F16K3/20Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together by movement of the seats
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • F16K3/02Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor
    • F16K3/0254Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor being operated by particular means
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/44Mechanical actuating means
    • F16K31/53Mechanical actuating means with toothed gearing
    • F16K31/54Mechanical actuating means with toothed gearing with pinion and rack

Definitions

  • the present invention relates to a gate valve which is capable of opening/closing, for example, a flow passage or a discharge passage, for example, for a pressure fluid or a gas.
  • the operation has been hitherto performed, for example, for processing apparatuses for semiconductor wafers, liquid crystal substrates or the like, in which the semiconductor wafer, the liquid crystal substrate or the like is put in and out of various processing chambers through a passage.
  • a gate valve for opening/closing the passage is provided for the passage.
  • Such a gate valve is arranged as follows, for example, as described in Japanese Patent No. 2613171. That is, a valve disk arrives at a position opposed to a valve seat in accordance with rectilinear motion of a valve rod which is displaceable in accordance with the driving action of a cylinder. After that, the valve disk is pressed against the valve seat, and it is seated thereon by the aid of the tilting motion of the valve rod. Accordingly, a passage, which is formed through a valve box, is closed.
  • a block 7 is connected to an upper portion of the valve rod 6 .
  • Pivotal shafts 11 which are displaceable along guide grooves 10 (see FIG. 10) formed on both side surfaces of cylinder tubes 9 of a pair of cylinders 8 a , 8 b respectively, are secured to both side surfaces of the block 7 .
  • the block 7 is provided to be tiltable and movable upwardly and downwardly in accordance with the guiding action of the guide grooves 10 with which the pivotal shafts 11 are engaged.
  • Each of the cylinder tube 9 , the block 7 , and the pivotal shaft 11 is made of a metal material.
  • the block 7 makes rectilinear motion in the vertical direction integrally with a yoke 13 by the aid of tensile springs 12 in accordance with the guiding action of the guide grooves 10 with which the pivotal shafts 11 are engaged.
  • the block 7 makes the tilting motion in the direction of the arrow A about support points of the pivotal shafts 11 which are supported by curved lower ends 10 a (see FIG. 10) of the guide grooves 10 . Therefore, the valve disk 5 is tilted in the direction of the arrow B about the support points of the pivotal shafts 11 , and it is seated on the valve seat 4 .
  • the passage 2 is closed in an air-tight manner.
  • Reference numeral 14 indicates a plate-shaped cam having a rhombic cross section.
  • the inclined plate-shaped cam 14 is displaced substantially horizontally, and thus the block 7 is tilted in the direction of the arrow A about the support points of the lower ends 10 a of the guide grooves 10 .
  • the gate valve 1 concerning the conventional technique described above is arranged such that the valve rod 6 makes the vertical movement and the tilting movement in accordance with the driving action of the pair of cylinders 8 a , 8 b , and the passage 2 is closed by the valve disk 5 which is connected to the valve rod 6 . Therefore, in the case of the gate valve 1 concerning the conventional technique, it is feared that when the gate valve 1 is used for many years, the sealing performance for the passage 2 is deteriorated when the valve disk 5 is seated on the valve seat 4 to close the passage 2 .
  • a general object of the present invention is to provide a gate valve which makes it possible to avoid generation of dust or the like due to abrasion, by allowing a valve disk to press and seal a valve seat of a valve box in a substantially perpendicular direction.
  • a principal object of the present invention is to provide a gate valve which makes it possible to improve the sealing performance for a passage by reliably sealing the passage of a valve box with a valve disk.
  • FIG. 2 shows a vertical sectional view taken along a line II-II shown in FIG. 1;
  • FIG. 3 shows, with partial omission, a vertical sectional view taken along a line III-III shown in FIG. 2;
  • FIG. 4 shows a partial vertical sectional view taken in an axial direction in FIG. 2;
  • FIG. 5 shows a vertical sectional view illustrating a state of the initial position in which a piston is at the bottom dead center
  • FIG. 7 shows, with partial omission, a vertical sectional view illustrating a state in which a valve disk is displaced in a substantially horizontal direction toward a passage starting from the state shown in FIG. 3;
  • FIG. 8 shows a vertical sectional view taken in an axial direction, illustrating a gate valve concerning the conventional technique
  • FIG. 9 shows a vertical sectional view taken in the axial direction, illustrating the gate valve concerning the conventional technique.
  • FIG. 10 shows a perspective view illustrating a cylinder tube which constitutes the gate valve concerning the conventional technique.
  • reference numeral 20 indicates a gate valve according to an embodiment of the present invention.
  • the gate valve 20 comprises a driving section 22 , a valve rod 24 which is displaceable in the vertical direction in accordance with the driving action of the driving section 22 , a valve disk 26 for opening/closing a passage (as described later on) in accordance with the displacement action of the valve rod 24 , and a displacement mechanism 28 which is provided between the valve rod 24 and the valve disk 26 , for making back and forth movement of the valve disk 26 toward the passage.
  • a valve box 30 is interconnected to an upper portion of the driving section 22 by the aid of screw members.
  • the passage 32 for making communication between the atmospheric air and a vacuum chamber is provided for the valve box 30 (see FIG. 30).
  • the valve disk 26 is seated on a valve seat 34 which is formed on an inner wall surface of the valve box 30 , and thus the passage 32 is closed in an air-tight manner.
  • a seal member 36 is installed to the valve disk 26 along an annular groove. The air-tightness, which is obtained when the valve disk 26 is seated on the valve seat 34 , is maintained by the seal member 36 .
  • the driving section 22 is composed of a cylinder mechanism (driving mechanism) 44 .
  • the cylinder mechanism 44 comprises a cylinder tube 46 which is formed to have a cylindrical configuration, a rod cover 48 which is connected to a first end of the cylinder tube 46 , and a head cover 50 which is connected to a second end of the cylinder tube 46 .
  • Two stripes of sensor attachment long grooves 52 a , 52 b which are substantially parallel to one another and which extend in the axial direction, are formed on an outer wall surface of the cylinder tube 46 (see FIG. 4).
  • a pair of unillustrated sensors for detecting the position of a piston as described later on are installed to the sensor attachment long grooves 52 a , 52 b.
  • a pair of pressure fluid inlet/outlet ports 54 a , 54 b which communicate with an upper cylinder chamber and a lower cylinder chamber respectively as described later on, are formed on the rod cover 48 and the head cover 50 respectively.
  • the cylinder mechanism 44 comprises the piston 60 which is accommodated displaceably along a cylinder chamber 58 in the cylinder tube 46 , and the valve rod 24 which has its first end connected to the piston 60 and its second end connected to the displacement mechanism 28 .
  • Those installed to the piston 60 include a piston packing 62 which maintains the air-tightness for the upper cylinder chamber 58 a and the lower cylinder chamber 58 b divided into two by the piston 60 respectively, a wear ring 64 which makes sliding movement along an inner wall surface of the cylinder tube 46 , and an annular magnet 66 .
  • the annular magnet 66 is displaceable integrally with the piston 60 .
  • the position of the piston 60 is detected by sensing the magnetism of the magnet 66 by using the unillustrated sensors attached to the sensor attachment long grooves 52 a , 52 b.
  • a pair of rod members 68 a , 68 b are connected to the piston 60 so that the pair of rod members 68 a , 68 b are substantially parallel to the valve rod 24 .
  • First ends of the rod members 68 a , 68 b are inserted into holes 72 of guide shafts 70 a , 70 b .
  • Spring members 74 are arranged in the holes 72 of the guide shafts 70 a , 70 b .
  • the rod members 68 a , 68 b are always in a state of being pressed toward the piston 60 (in the downward direction) by means of the resilient force of the spring members 74 . Therefore, the rod members 68 a , 68 b are provided to be displaceable along the holes 72 against the resilient force of the spring members 74 .
  • First ends of the guide shafts 70 a , 70 b are connected to a casing (block member) 76 which constitutes the displacement mechanism 28 .
  • Stoppers 78 each of which is formed with an annular expansion protruding radially outwardly, are connected to second ends disposed on the opposite side. In this arrangement, the stoppers 78 abut against plate-shaped buffer members 80 which are secured to the rod cover 48 to regulate the displacement of the guide shafts 70 a , 70 b .
  • Annular fastening sections 82 which are formed at first ends of the rod members 68 a , 68 b , abut against inner circumferential projections of the stoppers 78 . Accordingly, a function is effected such that the rod members 68 a , 68 b are prevented from disengagement from the guide shafts 70 a , 70 b.
  • a seal member 88 which maintains the air-tightness of the cylinder chamber 58 by surrounding the outer circumferential surface of the valve rod 24 , is installed to the inner circumferential surface of the first through-hole 84 .
  • An annular recess 90 which functions as a relief groove for the lubricating oil applied to the outer circumferential surface of the valve rod 24 , is formed on the inner circumferential surface.
  • a guide ring 92 which surrounds the outer circumferential surface of the guide shaft 70 a , 70 b , is installed to an annular groove on the inner circumferential surface of the second through-hole 86 a , 86 b .
  • the guide ring 92 is provided to have both of the sealing function to maintain the air-tightness of the cylinder chamber 58 and the guide function to linearly displace the guide shaft 70 a , 70 b.
  • the displacement mechanism 28 has the casing 76 which is connected to the first ends of the pair of guide shafts 70 a , 70 b respectively and which is displaceable in the axial direction integrally with the pair of guide shafts 70 a , 70 b .
  • the casing 76 comprises a housing and a cover member which are fixed by screw members 94 (see FIG. 3).
  • a chamber 96 having a substantially circular cross section is formed at the inside of the casing 76 .
  • the first end of the valve rod 24 is provided to be insertable into the interior of the chamber 96 .
  • a rack 98 is connected to the first end of the valve rod 24 by the aid of a screw member.
  • a pair of teeth which are formed substantially in parallel to one another, are formed at mutually opposing side portions of the rack 98 .
  • a first pinion 100 a and a second pinion 100 b which have teeth meshed with the pair of teeth of the rack 98 respectively, are rotatably supported by the casing 76 respectively.
  • Female threads 102 are formed on inner circumferential surfaces of the first pinion 100 a and the second pinion 100 b respectively (see FIG. 3).
  • a pair of pillow members 106 a , 106 b are connected to the valve disk 26 in a substantially perpendicular direction respectively by the aid of screw members 104 .
  • Male threads 108 which are engaged with the female threads 102 formed on the inner circumferential surfaces of the first pinion 100 a and the second pinion 100 b respectively, are formed on the pair of pillow members 106 a , 106 b .
  • the respective pillow members 106 a , 106 b are rotatably supported by a pair of bearing members 110 a , 110 b .
  • the bearing members 110 a , 110 b are provided with a plurality of rollers which are arranged in an annular configuration.
  • the male threads 108 which are formed on the pair of pillow members 106 a , 106 b , are formed to be a right-handed screw and a left-handed screw having opposite threading directions corresponding to the female threads 102 of the first pinion 100 a and the second pinion 100 b.
  • the rack 98 is moved upwardly integrally with the valve rod 24 . Accordingly, the teeth of the rack 98 are meshed with the teeth of the first pinion 100 a and the second pinion 100 b respectively.
  • the first pinion 100 a and the second pinion 100 b are rotated in mutually opposite directions.
  • the pillow members 106 a , 106 b protrude toward the passage 32 in accordance with the engaging action between the female threads 102 of the first pinion 100 a and the second pinion 100 b and the male threads 108 of the pillow members 106 a , 106 b .
  • valve disk 26 which is connected to the pair of pillow members 106 a , 106 b , is displaced in the substantially horizontal direction toward the passage 32 .
  • the seal member 36 is seated on the valve seat 34 , and thus the passage 32 is closed.
  • the following advantage is obtained concerning the displacement mechanism 28 . That is, when the valve disk 26 is displaced in the direction (substantially horizontal direction) substantially perpendicular to the axis of the valve rod 24 , then no unbalanced load is applied to the valve rod 24 , and the valve disk 26 can be displaced by equivalently rotating the pair of first pinion 100 a and the second pinion 100 b.
  • the gate valve 20 is basically constructed as described above. Next, its operation, function, and effect will be explained. The following explanation will be made assuming that the initial position resides in the open state in which the piston 60 is located at the lowermost end position (bottom dead center) of the cylinder chamber 58 , and the passage 32 , which is formed through the valve box 32 , is not closed by the valve disk 26 as shown in FIG. 5.
  • a pressure fluid for example, compressed air
  • a pressure fluid supply source not shown
  • the piston 60 is moved upwardly in accordance with the action of the pressure fluid supplied to the lower cylinder chamber 58 b .
  • the valve rod 24 which is connected to the piston 60 , is moved upwardly in an integrated manner as well. In this situation, it is assumed that the upper cylinder chamber 58 a is in a state of being open to the atmospheric air in accordance with the action of an unillustrated directional control valve.
  • the pair of rod members 68 a , 68 b , the guide shafts 70 a , 70 b , the displacement mechanism 28 , and the valve disk 26 are moved upwardly in an integrated manner together with the valve rod 24 .
  • the spring force of the spring member 74 which is arranged in each of the holes 72 of the guide shafts 70 a , 70 b , is set to have a predetermined value. Accordingly, the guide shafts 70 a , 70 b and the rod members 68 a , 68 b connected to the piston 60 are moved upwardly together.
  • the displacement of the rod members 68 a , 68 b does not overcome the resilient force of the spring members 74 during the period ranging from the initial position shown in FIG. 5 to the state shown in FIG. 2 in which the valve disk 26 is opposed to the passage 32 .
  • the rod members 68 a , 68 b and the guide shafts 70 a , 70 b are displaced in the integrated manner.
  • the rack 98 which is connected to the first end of the valve rod 24 , is integrally moved upwardly in the state in which the casing 76 of the displacement mechanism 28 stands still. Accordingly, the teeth of the rack 98 are meshed with the teeth of the first pinion 100 a and the second pinion 100 b respectively. The first pinion 100 a and the second pinion 100 b are rotated in the mutually opposite directions.
  • the pillow members 106 a , 106 b which are engaged with the first pinion 100 a and the second pinion 100 b respectively, are displaced in a direction opposite to the above. Accordingly, the valve disk 26 is displaced in the direction to make separation from the passage 32 to give the state shown in FIG. 3.
  • valve rod 24 When the pressure fluid is supplied to the upper cylinder chamber 58 a to further move the piston 60 downwardly, the valve rod 24 , the rod members 68 a , 68 b , the guide shafts 70 a , 70 b , the displacement mechanism 28 , and the valve disk 26 are moved downwardly in the integrated manner to restore the initial position shown in FIG. 5.
  • the valve rod 24 which is connected to the piston 60
  • the annular fastening section 82 which is formed at the first end of the rod member 68 a , 68 b , is engaged with the inner circumferential projection of the stopper 78 . Accordingly, the valve rod 24 and the guide shafts 70 a , 70 b are displaced in the integrated manner.
  • the displacement mechanism 28 which causes the back and forth movement of the valve disk 26 in the substantially horizontal direction toward the passage 32 , is provided at the end of the valve rod 24 . Accordingly, the passage 32 is reliably closed by the seal member 36 of the valve disk 26 . It is possible to improve the sealing performance for the passage 32 . In this case, the seal member 36 of the valve disk 26 is seated in the direction substantially perpendicular to the valve seat 34 . Therefore, no slippage occurs when the seal member 36 is seated, and it is possible to avoid any generation of dust or the like. As a result, it is possible to maintain the cleanness in the valve box 30 .
  • valve disk 26 is allowed to make the back and forth movement toward the passage 32 in accordance with the urging action of the displacement mechanism 28 to seat the seal member 36 on the valve seat 34 . Accordingly, the valve rod 24 merely performs the reciprocating rectilinear motion without making any tilting movement. Therefore, it is possible to decrease the load applied to the cylinder mechanism 44 , and it is possible to improve the durability of the cylinder mechanism 44 .
  • the lateral load which is applied to the valve rod 24 when the passage 32 is closed by the valve disk 26 , is appropriately absorbed by the flat surface section 112 of the piston 60 formed to have the substantially elliptic configuration and the inner wall surface of the cylinder tube 46 . Therefore, it is possible to decrease the load applied to the cylinder mechanism 44 , and it is possible to further improve the durability. It is unnecessary to specially add any constitutive member for absorbing the lateral load. Thus, it is possible to reduce the number of parts, and it is possible to decrease the production cost.

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Sliding Valves (AREA)
  • Mechanically-Actuated Valves (AREA)
  • Details Of Valves (AREA)

Abstract

A gate valve comprises a valve rod for making displacement in accordance with a driving action of a cylinder mechanism; a guide shaft for guiding the valve rod; a valve disk for opening/closing a passage in accordance with a displacement action of the valve rod; a rack connected to the valve rod, for making displacement integrally with the valve rod; a pinion rotatably supported by a casing, for meshing with the rack; and a pillow member connected to the valve disk and formed with a male thread for making engagement with a female thread formed on an inner circumferential surface of the pinion.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to a gate valve which is capable of opening/closing, for example, a flow passage or a discharge passage, for example, for a pressure fluid or a gas. [0002]
  • 2. Description of the Related Art [0003]
  • The operation has been hitherto performed, for example, for processing apparatuses for semiconductor wafers, liquid crystal substrates or the like, in which the semiconductor wafer, the liquid crystal substrate or the like is put in and out of various processing chambers through a passage. A gate valve for opening/closing the passage is provided for the passage. [0004]
  • Such a gate valve is arranged as follows, for example, as described in Japanese Patent No. 2613171. That is, a valve disk arrives at a position opposed to a valve seat in accordance with rectilinear motion of a valve rod which is displaceable in accordance with the driving action of a cylinder. After that, the valve disk is pressed against the valve seat, and it is seated thereon by the aid of the tilting motion of the valve rod. Accordingly, a passage, which is formed through a valve box, is closed. [0005]
  • That is, as shown in FIGS. 8 and 9, the [0006] gate valve 1 concerning the conventional technique comprises a valve box 3 which is formed with a passage 2 for putting in and out a workpiece, a valve disk 5 for closing the passage 2 by being seated on a valve seat 4 formed in the valve box 3, and a valve rod 6 which is connected to the valve disk 5 and which is provided to be tiltable and movable upwardly and downwardly.
  • A [0007] block 7 is connected to an upper portion of the valve rod 6. Pivotal shafts 11, which are displaceable along guide grooves 10 (see FIG. 10) formed on both side surfaces of cylinder tubes 9 of a pair of cylinders 8 a, 8 b respectively, are secured to both side surfaces of the block 7. The block 7 is provided to be tiltable and movable upwardly and downwardly in accordance with the guiding action of the guide grooves 10 with which the pivotal shafts 11 are engaged. Each of the cylinder tube 9, the block 7, and the pivotal shaft 11 is made of a metal material.
  • In other words, the [0008] block 7 makes rectilinear motion in the vertical direction integrally with a yoke 13 by the aid of tensile springs 12 in accordance with the guiding action of the guide grooves 10 with which the pivotal shafts 11 are engaged. The block 7 makes the tilting motion in the direction of the arrow A about support points of the pivotal shafts 11 which are supported by curved lower ends 10 a (see FIG. 10) of the guide grooves 10. Therefore, the valve disk 5 is tilted in the direction of the arrow B about the support points of the pivotal shafts 11, and it is seated on the valve seat 4. Thus, the passage 2 is closed in an air-tight manner.
  • [0009] Reference numeral 14 indicates a plate-shaped cam having a rhombic cross section. The inclined plate-shaped cam 14 is displaced substantially horizontally, and thus the block 7 is tilted in the direction of the arrow A about the support points of the lower ends 10 a of the guide grooves 10.
  • However, the [0010] gate valve 1 concerning the conventional technique described above is arranged such that the valve rod 6 makes the vertical movement and the tilting movement in accordance with the driving action of the pair of cylinders 8 a, 8 b, and the passage 2 is closed by the valve disk 5 which is connected to the valve rod 6. Therefore, in the case of the gate valve 1 concerning the conventional technique, it is feared that when the gate valve 1 is used for many years, the sealing performance for the passage 2 is deteriorated when the valve disk 5 is seated on the valve seat 4 to close the passage 2.
  • SUMMARY OF THE INVENTION
  • A general object of the present invention is to provide a gate valve which makes it possible to avoid generation of dust or the like due to abrasion, by allowing a valve disk to press and seal a valve seat of a valve box in a substantially perpendicular direction. [0011]
  • A principal object of the present invention is to provide a gate valve which makes it possible to improve the sealing performance for a passage by reliably sealing the passage of a valve box with a valve disk. [0012]
  • The above and other objects, features, and advantages of the present invention will become more apparent from the following description when taken in conjunction with the accompanying drawings in which a preferred embodiment of the present invention is shown by way of illustrative example. [0013]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a schematic perspective view illustrating a gate valve according to an embodiment of the present invention; [0014]
  • FIG. 2 shows a vertical sectional view taken along a line II-II shown in FIG. 1; [0015]
  • FIG. 3 shows, with partial omission, a vertical sectional view taken along a line III-III shown in FIG. 2; [0016]
  • FIG. 4 shows a partial vertical sectional view taken in an axial direction in FIG. 2; [0017]
  • FIG. 5 shows a vertical sectional view illustrating a state of the initial position in which a piston is at the bottom dead center; [0018]
  • FIG. 6 shows a vertical sectional view illustrating a state in which the piston arrives at the top dead center; [0019]
  • FIG. 7 shows, with partial omission, a vertical sectional view illustrating a state in which a valve disk is displaced in a substantially horizontal direction toward a passage starting from the state shown in FIG. 3; [0020]
  • FIG. 8 shows a vertical sectional view taken in an axial direction, illustrating a gate valve concerning the conventional technique; [0021]
  • FIG. 9 shows a vertical sectional view taken in the axial direction, illustrating the gate valve concerning the conventional technique; and [0022]
  • FIG. 10 shows a perspective view illustrating a cylinder tube which constitutes the gate valve concerning the conventional technique. [0023]
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • In FIG. 1, [0024] reference numeral 20 indicates a gate valve according to an embodiment of the present invention.
  • The [0025] gate valve 20 comprises a driving section 22, a valve rod 24 which is displaceable in the vertical direction in accordance with the driving action of the driving section 22, a valve disk 26 for opening/closing a passage (as described later on) in accordance with the displacement action of the valve rod 24, and a displacement mechanism 28 which is provided between the valve rod 24 and the valve disk 26, for making back and forth movement of the valve disk 26 toward the passage.
  • A [0026] valve box 30 is interconnected to an upper portion of the driving section 22 by the aid of screw members. The passage 32 for making communication between the atmospheric air and a vacuum chamber is provided for the valve box 30 (see FIG. 30). The valve disk 26 is seated on a valve seat 34 which is formed on an inner wall surface of the valve box 30, and thus the passage 32 is closed in an air-tight manner. A seal member 36 is installed to the valve disk 26 along an annular groove. The air-tightness, which is obtained when the valve disk 26 is seated on the valve seat 34, is maintained by the seal member 36.
  • As shown in FIGS. 2 and 3, the [0027] driving section 22 is composed of a cylinder mechanism (driving mechanism) 44. The cylinder mechanism 44 comprises a cylinder tube 46 which is formed to have a cylindrical configuration, a rod cover 48 which is connected to a first end of the cylinder tube 46, and a head cover 50 which is connected to a second end of the cylinder tube 46. Two stripes of sensor attachment long grooves 52 a, 52 b, which are substantially parallel to one another and which extend in the axial direction, are formed on an outer wall surface of the cylinder tube 46 (see FIG. 4). A pair of unillustrated sensors for detecting the position of a piston as described later on are installed to the sensor attachment long grooves 52 a, 52 b.
  • As shown in FIG. 4, a pair of pressure fluid inlet/[0028] outlet ports 54 a, 54 b, which communicate with an upper cylinder chamber and a lower cylinder chamber respectively as described later on, are formed on the rod cover 48 and the head cover 50 respectively.
  • As shown in FIG. 2, the [0029] cylinder mechanism 44 comprises the piston 60 which is accommodated displaceably along a cylinder chamber 58 in the cylinder tube 46, and the valve rod 24 which has its first end connected to the piston 60 and its second end connected to the displacement mechanism 28.
  • Those installed to the [0030] piston 60 include a piston packing 62 which maintains the air-tightness for the upper cylinder chamber 58 a and the lower cylinder chamber 58 b divided into two by the piston 60 respectively, a wear ring 64 which makes sliding movement along an inner wall surface of the cylinder tube 46, and an annular magnet 66. The annular magnet 66 is displaceable integrally with the piston 60. The position of the piston 60 is detected by sensing the magnetism of the magnet 66 by using the unillustrated sensors attached to the sensor attachment long grooves 52 a, 52 b.
  • A pair of [0031] rod members 68 a, 68 b are connected to the piston 60 so that the pair of rod members 68 a, 68 b are substantially parallel to the valve rod 24. First ends of the rod members 68 a, 68 b are inserted into holes 72 of guide shafts 70 a, 70 b. Spring members 74 are arranged in the holes 72 of the guide shafts 70 a, 70 b. The rod members 68 a, 68 b are always in a state of being pressed toward the piston 60 (in the downward direction) by means of the resilient force of the spring members 74. Therefore, the rod members 68 a, 68 b are provided to be displaceable along the holes 72 against the resilient force of the spring members 74.
  • First ends of the [0032] guide shafts 70 a, 70 b are connected to a casing (block member) 76 which constitutes the displacement mechanism 28. Stoppers 78, each of which is formed with an annular expansion protruding radially outwardly, are connected to second ends disposed on the opposite side. In this arrangement, the stoppers 78 abut against plate-shaped buffer members 80 which are secured to the rod cover 48 to regulate the displacement of the guide shafts 70 a, 70 b. Annular fastening sections 82, which are formed at first ends of the rod members 68 a, 68 b, abut against inner circumferential projections of the stoppers 78. Accordingly, a function is effected such that the rod members 68 a, 68 b are prevented from disengagement from the guide shafts 70 a, 70 b.
  • A first through-hole [0033] 84 having a small diameter, through which the valve rod 24 is inserted, is formed at a substantially central portion of the rod cover 48. A pair of second through- holes 86 a, 86 b, through which the pair of guide shafts 70 a, 70 b are inserted respectively, are formed through the rod cover 48, while the first through-hole 84 is interposed therebetween.
  • A [0034] seal member 88, which maintains the air-tightness of the cylinder chamber 58 by surrounding the outer circumferential surface of the valve rod 24, is installed to the inner circumferential surface of the first through-hole 84. An annular recess 90, which functions as a relief groove for the lubricating oil applied to the outer circumferential surface of the valve rod 24, is formed on the inner circumferential surface.
  • A [0035] guide ring 92, which surrounds the outer circumferential surface of the guide shaft 70 a, 70 b, is installed to an annular groove on the inner circumferential surface of the second through- hole 86 a, 86 b. The guide ring 92 is provided to have both of the sealing function to maintain the air-tightness of the cylinder chamber 58 and the guide function to linearly displace the guide shaft 70 a, 70 b.
  • The [0036] displacement mechanism 28 has the casing 76 which is connected to the first ends of the pair of guide shafts 70 a, 70 b respectively and which is displaceable in the axial direction integrally with the pair of guide shafts 70 a, 70 b. The casing 76 comprises a housing and a cover member which are fixed by screw members 94 (see FIG. 3). A chamber 96 having a substantially circular cross section is formed at the inside of the casing 76. The first end of the valve rod 24 is provided to be insertable into the interior of the chamber 96.
  • As shown in FIGS. 2 and 3, a [0037] rack 98 is connected to the first end of the valve rod 24 by the aid of a screw member. A pair of teeth, which are formed substantially in parallel to one another, are formed at mutually opposing side portions of the rack 98. A first pinion 100 a and a second pinion 100 b, which have teeth meshed with the pair of teeth of the rack 98 respectively, are rotatably supported by the casing 76 respectively. Female threads 102 are formed on inner circumferential surfaces of the first pinion 100 a and the second pinion 100 b respectively (see FIG. 3).
  • A pair of [0038] pillow members 106 a, 106 b are connected to the valve disk 26 in a substantially perpendicular direction respectively by the aid of screw members 104. Male threads 108, which are engaged with the female threads 102 formed on the inner circumferential surfaces of the first pinion 100 a and the second pinion 100 b respectively, are formed on the pair of pillow members 106 a, 106 b. The respective pillow members 106 a, 106 b are rotatably supported by a pair of bearing members 110 a, 110 b. The bearing members 110 a, 110 b are provided with a plurality of rollers which are arranged in an annular configuration.
  • The [0039] male threads 108, which are formed on the pair of pillow members 106 a, 106 b, are formed to be a right-handed screw and a left-handed screw having opposite threading directions corresponding to the female threads 102 of the first pinion 100 a and the second pinion 100 b.
  • In the [0040] displacement mechanism 28, the rack 98 is moved upwardly integrally with the valve rod 24. Accordingly, the teeth of the rack 98 are meshed with the teeth of the first pinion 100 a and the second pinion 100 b respectively. The first pinion 100 a and the second pinion 100 b are rotated in mutually opposite directions. When the first pinion 100 a and the second pinion 100 b are rotated respectively, the pillow members 106 a, 106 b protrude toward the passage 32 in accordance with the engaging action between the female threads 102 of the first pinion 100 a and the second pinion 100 b and the male threads 108 of the pillow members 106 a, 106 b. Therefore, the valve disk 26, which is connected to the pair of pillow members 106 a, 106 b, is displaced in the substantially horizontal direction toward the passage 32. The seal member 36 is seated on the valve seat 34, and thus the passage 32 is closed.
  • In this arrangement, the following advantage is obtained concerning the [0041] displacement mechanism 28. That is, when the valve disk 26 is displaced in the direction (substantially horizontal direction) substantially perpendicular to the axis of the valve rod 24, then no unbalanced load is applied to the valve rod 24, and the valve disk 26 can be displaced by equivalently rotating the pair of first pinion 100 a and the second pinion 100 b.
  • The [0042] gate valve 20 according to the embodiment of the present invention is basically constructed as described above. Next, its operation, function, and effect will be explained. The following explanation will be made assuming that the initial position resides in the open state in which the piston 60 is located at the lowermost end position (bottom dead center) of the cylinder chamber 58, and the passage 32, which is formed through the valve box 32, is not closed by the valve disk 26 as shown in FIG. 5.
  • At the initial position, a pressure fluid (for example, compressed air) is supplied from a pressure fluid supply source (not shown) via the pressure fluid inlet/[0043] outlet port 54 b to the lower cylinder chamber 58 b. The piston 60 is moved upwardly in accordance with the action of the pressure fluid supplied to the lower cylinder chamber 58 b. The valve rod 24, which is connected to the piston 60, is moved upwardly in an integrated manner as well. In this situation, it is assumed that the upper cylinder chamber 58 a is in a state of being open to the atmospheric air in accordance with the action of an unillustrated directional control valve.
  • When the [0044] valve rod 24 is moved upwardly, the pair of rod members 68 a, 68 b, the guide shafts 70 a, 70 b, the displacement mechanism 28, and the valve disk 26 are moved upwardly in an integrated manner together with the valve rod 24. In this arrangement, the spring force of the spring member 74, which is arranged in each of the holes 72 of the guide shafts 70 a, 70 b, is set to have a predetermined value. Accordingly, the guide shafts 70 a, 70 b and the rod members 68 a, 68 b connected to the piston 60 are moved upwardly together. In other words, the displacement of the rod members 68 a, 68 b does not overcome the resilient force of the spring members 74 during the period ranging from the initial position shown in FIG. 5 to the state shown in FIG. 2 in which the valve disk 26 is opposed to the passage 32. The rod members 68 a, 68 b and the guide shafts 70 a, 70 b are displaced in the integrated manner.
  • When the pair of [0045] guide shafts 70 a, 70 b are moved upwardly, the pair of guide shafts 70 a, 70 b are supported by the guide rings 92 respectively. Accordingly, the linear accuracy is maintained for the valve rod 24 which is arranged between the pair of guide shafts 70 a, 70 b.
  • When the [0046] guide shafts 70 a, 70 b are moved upwardly together with the valve rod 24, the stoppers 78, which are provided at the first ends of the guide shafts 70 a, 70 b, abut against the buffer members 80 secured to the rod cover 48. Accordingly, the displacement action of the pair of guide shafts 70 a, 70 b is regulated (see FIG. 2). Therefore, the displacement mechanism 28 and the valve disk 26, which are connected to the ends of the pair of guide shafts 70 a, 70 b, also stand still, giving a state in which the valve disk 26 is opposed to the passage 32 of the valve box 30 (see FIG. 30).
  • When the [0047] piston 60 is further moved upwardly from the state shown in FIG. 2, the valve rod 24 and the rod members 68 a, 68 b which overcome the resilient force of the spring members 74 are integrally moved upwardly to give a state shown in FIG. 6. In this arrangement, only the rod members 68 a, 68 b and the valve rod 24 are moved upwardly, while maintaining the state in which the guide shafts 70 a, 70 b and the casing 76 stand still in accordance with the fastening action of the stoppers 78.
  • Therefore, the [0048] rack 98, which is connected to the first end of the valve rod 24, is integrally moved upwardly in the state in which the casing 76 of the displacement mechanism 28 stands still. Accordingly, the teeth of the rack 98 are meshed with the teeth of the first pinion 100 a and the second pinion 100 b respectively. The first pinion 100 a and the second pinion 100 b are rotated in the mutually opposite directions.
  • When the [0049] first pinion 100 a and the second pinion 100 b are rotated in the mutually opposite directions, the pillow members 106 a, 106 b protrude toward the passage 32 in accordance with the engaging action of the female threads 102 of the first pinion 100 a and the second pinion 100 b and the male threads 108 of the pillow members 106 a, 106 b. Therefore, the valve disk 26, which is connected to the pair of pillow members 106 a, 106 b, is displaced in the substantially horizontal direction. The seal member 36 is seated on the valve seat 36, and thus the passage 32 is closed.
  • When the [0050] seal member 36 of the valve disk 26 is pressed toward the passage 32 in accordance with the urging action of the displacement mechanism 28, the lateral load, which is applied to the valve rod 24, is absorbed by a flat surface section 112 (see FIG. 1) of the piston 60 formed to have a substantially elliptic configuration and the inner wall surface of the cylinder tube 46. The lateral load, which is applied to the pair of guide shafts 70 a, 70 b respectively, is absorbed by the guide rings 92 and the wear ring 64. Therefore, it is preferable to apply a low friction treatment to the guide rings 92 and the wear ring 64.
  • Subsequently, when the [0051] valve disk 26 is separated from the valve seat 34 to open the passage 32, the pressure fluid is supplied to the upper cylinder chamber 58 a in accordance with the switching action of the unillustrated directional control valve. Accordingly, the piston 60, the valve rod 24, the rod members 68 a, 68 b, and the rack 98 are moved downwardly in an integrated manner. The lower cylinder chamber 58 b is in a state of being open to the atmospheric air in accordance with the switching action of the unillustrated directional control valve. In this situation, the first pinion 100 a and the second pinion 100 b, which are meshed with the teeth of the rack 98, are rotated in directions opposite to the above. The pillow members 106 a, 106 b, which are engaged with the first pinion 100 a and the second pinion 100 b respectively, are displaced in a direction opposite to the above. Accordingly, the valve disk 26 is displaced in the direction to make separation from the passage 32 to give the state shown in FIG. 3.
  • When the [0052] piston 60 is moved downwardly, the pair of guide shafts 70 a, 70 b are pressed upwardly in accordance with the action of the resilient force of the spring members 74. Therefore, only the valve rod 24 is moved downwardly, and the pair of guide shafts 70 a, 70 b are in the state in which their displacement is regulated.
  • When the pressure fluid is supplied to the [0053] upper cylinder chamber 58 a to further move the piston 60 downwardly, the valve rod 24, the rod members 68 a, 68 b, the guide shafts 70 a, 70 b, the displacement mechanism 28, and the valve disk 26 are moved downwardly in the integrated manner to restore the initial position shown in FIG. 5. When the valve rod 24, which is connected to the piston 60, is moved downwardly, the annular fastening section 82, which is formed at the first end of the rod member 68 a, 68 b, is engaged with the inner circumferential projection of the stopper 78. Accordingly, the valve rod 24 and the guide shafts 70 a, 70 b are displaced in the integrated manner.
  • In the embodiment of the present invention, the [0054] displacement mechanism 28, which causes the back and forth movement of the valve disk 26 in the substantially horizontal direction toward the passage 32, is provided at the end of the valve rod 24. Accordingly, the passage 32 is reliably closed by the seal member 36 of the valve disk 26. It is possible to improve the sealing performance for the passage 32. In this case, the seal member 36 of the valve disk 26 is seated in the direction substantially perpendicular to the valve seat 34. Therefore, no slippage occurs when the seal member 36 is seated, and it is possible to avoid any generation of dust or the like. As a result, it is possible to maintain the cleanness in the valve box 30.
  • In the embodiment of the present invention, the [0055] valve disk 26 is allowed to make the back and forth movement toward the passage 32 in accordance with the urging action of the displacement mechanism 28 to seat the seal member 36 on the valve seat 34. Accordingly, the valve rod 24 merely performs the reciprocating rectilinear motion without making any tilting movement. Therefore, it is possible to decrease the load applied to the cylinder mechanism 44, and it is possible to improve the durability of the cylinder mechanism 44.
  • The lateral load, which is applied to the [0056] valve rod 24 when the passage 32 is closed by the valve disk 26, is appropriately absorbed by the flat surface section 112 of the piston 60 formed to have the substantially elliptic configuration and the inner wall surface of the cylinder tube 46. Therefore, it is possible to decrease the load applied to the cylinder mechanism 44, and it is possible to further improve the durability. It is unnecessary to specially add any constitutive member for absorbing the lateral load. Thus, it is possible to reduce the number of parts, and it is possible to decrease the production cost.

Claims (11)

What is claimed is:
1. A gate valve comprising:
a driving mechanism;
a valve rod for making displacement in accordance with a driving action of said driving mechanism;
a guide shaft for guiding said valve rod;
a valve disk for opening/closing a passage formed through a valve box in accordance with a displacement action of said valve rod; and
a displacement mechanism provided with a block member connected to said guide shaft, for displacing said valve disk in a direction substantially perpendicular to an axis of said valve rod, wherein:
said displacement mechanism includes a rack member connected to said valve rod, for making displacement integrally with said valve rod; a pinion rotatably supported by said block member, for meshing with said rack member; and a pillow member connected to said valve disk and formed with a male thread to be engaged with a female thread formed on an inner circumferential surface of said pinion.
2. The gate valve according to
claim 1
, wherein a stopper for regulating displacement of said guide shaft is provided at one end of said guide shaft.
3. The gate valve according to
claim 1
, wherein said driving mechanism is composed of a cylinder mechanism provided with a piston for making reciprocating movement along a cylinder tube, and said piston is formed to have a substantially elliptic configuration.
4. The gate valve according to
claim 2
, further comprising a rod member connected to a piston; and a spring member arranged in a hole of said guide shaft, for pressing said rod member toward said piston; wherein said rod member is displaceable integrally with said valve rod against resilient force of said spring member when said displacement of said guide shaft is regulated in accordance with a fastening action of said stopper.
5. The gate valve according to
claim 4
, wherein a pair of said rod members are provided on both sides while said valve rod connected to a substantially central portion of said piston intervenes therebetween.
6. The gate valve according to
claim 1
, wherein a pair of said guide shafts are provided while said valve rod intervenes therebetween.
7. The gate valve according to
claim 1
, wherein a pair of substantially parallel teeth are formed at mutually opposing side portions of said rack member, and said pinion includes a first pinion and a second pinion meshed with said pair of teeth of said rack member respectively.
8. The gate valve according to
claim 7
, wherein a pair of said pillow members have said male threads which are set to provide a right-handed screw and a left-handed screw with mutually opposite threading directions corresponding to said female threads of said first pinion and said second pinion respectively.
9. The gate valve according to
claim 3
, wherein said piston, which is formed to have substantially elliptic configuration, is provided with a flat surface section for absorbing lateral load applied to said valve rod by making contact with an inner wall surface of said cylinder tube.
10. The gate valve according to
claim 9
, wherein a wear ring for absorbing lateral load applied to said guide shaft is installed to said piston.
11. The gate valve according to
claim 3
, wherein a rod cover is provided at one end of said cylinder tube; and a guide ring for absorbing lateral load applied to said guide shaft is installed to a through-hole of said rod cover for inserting said guide shaft therethrough.
US09/741,180 1999-12-21 2000-12-21 Gate valve Expired - Lifetime US6299133B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP36306299A JP3810604B2 (en) 1999-12-21 1999-12-21 Gate valve
JP11-363062 1999-12-21

Publications (2)

Publication Number Publication Date
US20010004106A1 true US20010004106A1 (en) 2001-06-21
US6299133B2 US6299133B2 (en) 2001-10-09

Family

ID=18478412

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/741,180 Expired - Lifetime US6299133B2 (en) 1999-12-21 2000-12-21 Gate valve

Country Status (7)

Country Link
US (1) US6299133B2 (en)
EP (1) EP1111279B1 (en)
JP (1) JP3810604B2 (en)
KR (1) KR100393539B1 (en)
CN (1) CN1162630C (en)
DE (1) DE60038278T2 (en)
TW (1) TW455659B (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102829199A (en) * 2012-05-08 2012-12-19 铜陵天海流体控制有限公司 Non-rising stem gate valve
US20140021396A1 (en) * 2012-07-19 2014-01-23 Vat Holding Ag Vacuum valve
US20140042354A1 (en) * 2012-07-12 2014-02-13 Hva, Llc Pressure Control Valve Assembly
WO2016065730A1 (en) * 2014-10-31 2016-05-06 张辛悦 Seal ring locked gate valve
CN113217650A (en) * 2021-05-20 2021-08-06 黄河水利职业技术学院 Mechanical control pipeline valve
CN115264092A (en) * 2022-06-30 2022-11-01 山东宏丰智能装备有限公司 Anti-blocking flat valve

Families Citing this family (346)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4407875B2 (en) * 2002-02-25 2010-02-03 シャープ株式会社 CHARACTER DISPLAY DEVICE, CHARACTER DISPLAY METHOD, CONTROL PROGRAM FOR CONTROLLING THE CHARACTER DISPLAY METHOD, AND RECORDING MEDIUM CONTAINING THE CONTROL PROGRAM
US7198251B2 (en) * 2004-12-21 2007-04-03 Tokyo Electron Limited Opening/closing mechanism for vacuum processing apparatus and vacuum processing apparatus using the same
JP4437743B2 (en) * 2004-12-21 2010-03-24 東京エレクトロン株式会社 Opening / closing mechanism for vacuum processing apparatus and vacuum processing apparatus
US20070012894A1 (en) * 2005-07-18 2007-01-18 G-Light Display Corp. Vacuum gate valve
US7441747B2 (en) * 2005-07-18 2008-10-28 G-Light Display Corp. Vacuum gate
US7445019B2 (en) * 2006-05-15 2008-11-04 High Vacuum Apparatus Mfg., Inc. Gate valve having service position
JP5338218B2 (en) * 2008-09-18 2013-11-13 Smc株式会社 Double rack and pinion type rocking device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR100933885B1 (en) * 2009-08-07 2009-12-28 주식회사 에이엠지 A gate-valve operating device
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102011001186A1 (en) * 2011-01-17 2012-07-19 Z & J Technologies Gmbh Drive for a slide valve and slide valve
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN202469184U (en) * 2012-02-22 2012-10-03 昆山新莱洁净应用材料股份有限公司 Gate valve
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9086172B2 (en) * 2012-07-19 2015-07-21 Vat Holding Ag Vacuum valve
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP5652505B2 (en) * 2013-06-05 2015-01-14 株式会社デンソー Valve drive device
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
DE102014001725A1 (en) * 2014-02-07 2015-08-13 Walter Kramer Slide valve, in particular for use in conveyor systems with delivery lines and method for actuating such a slide valve
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) * 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
DE102014224503A1 (en) * 2014-12-01 2016-06-02 Robert Bosch Gmbh Valve actuator with position sensor
DE102014224500A1 (en) * 2014-12-01 2016-06-02 Robert Bosch Gmbh Valve actuator with multi-stage gear transmission
DE102014224502A1 (en) * 2014-12-01 2016-06-02 Robert Bosch Gmbh Valve actuator with sealed coupling rod
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3106728A1 (en) * 2015-06-16 2016-12-21 VAT Holding AG Vacuum valve with linear guide unit and valve system with such a valve and actuator
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
KR101727531B1 (en) 2015-08-06 2017-04-17 (주) 세진프리시젼 Gate valve
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
JP7069140B2 (en) * 2016-10-24 2022-05-17 バット ホールディング アーゲー Blocking device
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
CN109357031B (en) * 2018-12-11 2023-08-29 大连广的科技有限公司 Gear-driven novel gate valve
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
JP6938828B2 (en) * 2018-12-28 2021-09-22 Smc株式会社 Low noise gate valve
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
KR102325469B1 (en) * 2021-05-18 2021-11-15 (주)사이언스프로버 Vacuum gate valve
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022114806A1 (en) 2022-06-13 2023-12-14 Vat Holding Ag Valve

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB171082A (en) * 1920-11-01 1922-05-04 Schumann & Co High pressure slide stop valve with parallel steam-tight surfaces
US1577964A (en) * 1922-10-19 1926-03-23 Israel B Gilbert Automatic regrinding valve
GB273187A (en) * 1927-01-14 1927-06-30 Franz Seiffert Improvements in or relating to parallel sluice valves
US2902252A (en) * 1956-07-30 1959-09-01 Chennie M Ballard Disc valve
GB1529234A (en) * 1974-12-05 1978-10-18 Rappold & Co Gmbh Hermann Slide dampers
US4275866A (en) * 1980-03-27 1981-06-30 Newcon Company Operator for a gate valve
JPH02110766U (en) * 1989-02-21 1990-09-05
JP3143250B2 (en) * 1993-02-16 2001-03-07 バット ホールディング アーゲー Actuator
JP2613171B2 (en) 1993-07-22 1997-05-21 株式会社岸川特殊バルブ Gate valve
JP2766190B2 (en) * 1994-07-28 1998-06-18 入江工研株式会社 No sliding vacuum gate valve
JPH09303320A (en) * 1996-05-07 1997-11-25 Ckd Corp Hydraulic cylinder
JP3791979B2 (en) * 1996-10-02 2006-06-28 太陽鉄工株式会社 Brake device for fluid pressure cylinder
JPH10339377A (en) * 1997-06-06 1998-12-22 Nec Corp Gate valve
JP3056080U (en) * 1998-07-22 1999-02-02 太陽鉄工株式会社 Cylinder with stroke adjustment mechanism

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102829199A (en) * 2012-05-08 2012-12-19 铜陵天海流体控制有限公司 Non-rising stem gate valve
US20140042354A1 (en) * 2012-07-12 2014-02-13 Hva, Llc Pressure Control Valve Assembly
US9562612B2 (en) * 2012-07-12 2017-02-07 Hva, Llc Pressure control valve assembly
US20140021396A1 (en) * 2012-07-19 2014-01-23 Vat Holding Ag Vacuum valve
KR20140011987A (en) * 2012-07-19 2014-01-29 배트 홀딩 아게 Vacuum valve
US9086173B2 (en) * 2012-07-19 2015-07-21 Vat Holding Ag Vacuum valve
KR101707265B1 (en) 2012-07-19 2017-02-15 배트 홀딩 아게 Vacuum valve
WO2016065730A1 (en) * 2014-10-31 2016-05-06 张辛悦 Seal ring locked gate valve
CN113217650A (en) * 2021-05-20 2021-08-06 黄河水利职业技术学院 Mechanical control pipeline valve
CN115264092A (en) * 2022-06-30 2022-11-01 山东宏丰智能装备有限公司 Anti-blocking flat valve

Also Published As

Publication number Publication date
KR20010062391A (en) 2001-07-07
JP3810604B2 (en) 2006-08-16
EP1111279A3 (en) 2002-10-30
EP1111279B1 (en) 2008-03-12
CN1162630C (en) 2004-08-18
US6299133B2 (en) 2001-10-09
DE60038278T2 (en) 2009-04-30
EP1111279A2 (en) 2001-06-27
JP2001173805A (en) 2001-06-29
KR100393539B1 (en) 2003-09-06
TW455659B (en) 2001-09-21
DE60038278D1 (en) 2008-04-24
CN1300910A (en) 2001-06-27

Similar Documents

Publication Publication Date Title
US6299133B2 (en) Gate valve
US6390449B1 (en) Gate valve
KR101814542B1 (en) Gate valve
KR20150125610A (en) Gate valve
US6612328B2 (en) In-line valve
US20110240649A1 (en) Cap for use in fluid pressure device and fixing method therefor
EP0565786B1 (en) Vacuum gate valve
JP6261593B2 (en) Automatic diaphragm valve for high pressure
JP2013199975A (en) Piston-type working fluid pressure actuator and control valve
US10989317B2 (en) Two-way valve
KR20050001457A (en) Regulating vacuum valve
US7677528B2 (en) Controller
JP2001027336A (en) Gate valve
JP4711098B2 (en) Gate valve
US7448311B2 (en) Displacement difference-absorbing mechanism for cylinder apparatus
KR102384826B1 (en) diaphragm valve
KR102454097B1 (en) Actuator for valve and diaphragm valve having same
JP2021513034A (en) Piston-cylinder unit
JP7262559B2 (en) Actuator for valve and diaphragm valve with same
JP3337667B2 (en) Gate valve
JP2000266194A (en) Two-stage type electric expansion valve
JP7365147B2 (en) Small diameter actuator for high temperature valves and high temperature valves
KR100230936B1 (en) Vacuum gate valve
RU2215224C1 (en) Bodyless gate valve with curvilinear trajectory of motion
RU2014536C1 (en) Gate valve

Legal Events

Date Code Title Description
AS Assignment

Owner name: SMC KABUSHIKI KAISHA, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WARAGAI, KENJI;ISHIGAKI, TSUNEO;REEL/FRAME:011399/0098

Effective date: 20001128

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12