TW406289B - Method of manufacturing a semiconductor device - Google Patents

Method of manufacturing a semiconductor device Download PDF

Info

Publication number
TW406289B
TW406289B TW086103600A TW86103600A TW406289B TW 406289 B TW406289 B TW 406289B TW 086103600 A TW086103600 A TW 086103600A TW 86103600 A TW86103600 A TW 86103600A TW 406289 B TW406289 B TW 406289B
Authority
TW
Taiwan
Prior art keywords
wiring
film
wiring material
insulating film
forming
Prior art date
Application number
TW086103600A
Other languages
English (en)
Inventor
Masahiro Inohara
Minakshisundaran B Anand
Tadashi Matsuno
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Application granted granted Critical
Publication of TW406289B publication Critical patent/TW406289B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

406289 A7 __B7_ 五、發明説明(1 ) 〔發明所靥之技術領域〕 本發明係關於一種多層配線構造的半導體裝置之製造 方法者,尤其是關於除去連接於形成在金屬配線之側面的 配線材料擴散防止膜之層間連接孔之部分的方法· 〔以往之技術〕 使用圖式說明以往技術。在第13圖之(1)表示多 靥配線構造的半導體裝置,而在第1 3圖之(2 )表示第 1 3圖之(1 )的剖面圖。在將形成於下層1 2 9 1之銅 作爲主成分的金靥配線1 2 0 1之周圍形成有用以防止銅 原子擴散至層間絕緣膜1 2 2 1所用的銅擴散防止膜 1 2 1 1及1 2 1 2 ·若沒有該銅擴散防止膜時,因銅會 流入周圍之層間絕緣膜而會引起半導體元件之特性劣化, 故在配線材料使用銅時,上述銅擴散防止膜係不可欠缺。 經濟部中夾標準局貝工消费合作社印裝 (請先閱讀背面之注意事項再填寫本頁) 以下,如第1 4圖所示,在第1 3圖之狀態的全面半 導體裝置形成銅擴散防止膜1 2 1 3 ·然後,藉將以銅作 爲主成分之配線材料埋入(未予圖示)在形成於第1 5圖 之層間絕緣膜1292的層間連接孔1205、1206 ,以及形成於上層1293的配線溝1294,製造具有 多層配線構造的半導體裝置。 又,在第1 5圖係表示將以銅作爲主成分之配線材料 1 2 0 0埋入在半導體裝置之層間連接孔1 2 0 5及 1 2 0 6及配線溝1 2 9 4之後的層間連接孔1 2 0 5附 近的放大剖面圖•如第1 5圔所示,在層間連接孔 本紙張尺度適用中國國家樣準(CNS> A4規格(210X297公釐)-4 - 經濟部中央標準局員工消費合作社印製 406289 A7 _ B7 五、發明説明(2 ) 1 2 0 5之底部1 2 9 9存有銅擴散防止膜。因該銅擴散 防止膜係銅之擴散速度極慢,因此,如第1 5圖中之I 1 所示,有電流流動時,因妨礙藉由電流流動時所產生之銅 原子移動,因此,在配線內1200及1201以及層間 連接孔內1 2 0 5藉產生配線材料移動配線中而在配線內 形成空間的現象或配線材料溢出配線外之現象,成爲配線 及層間連接孔之斷線的原因,而縮短配線之壽命。又,銅 擴散防止膜之電阻率,比以銅作爲主成分之金靥配線 1 2 0 0及1 2 0 1的電阻率爲大時,產生增加層間連接 孔1 2 0 5之電阻。 · 〔發明欲解決之課題〕 如上所述,將以銅作爲主成分之金靥配線使用於多層 配線構造之半導體裝置時,必須將用以防止包含於上述金 靥配線之銅原子擴散至周圍之惰形所用的銅擴散防止膜形 成在上述金屬配線之周圍。但是,在如上述之配線構造時 ,該銅擴散防止膜會導致依電子遷移所產生之斷線不良及 增加層間連接孔部分之電阻。 本發明之目的係在於提供一種藉除去層間連接孔底部 之銅擴散防止膜,將配線之長壽命化及連接孔底部之電阻 值之減低化成爲可能的半導體裝置之製造方法。 〔爲解決課題之手段〕 爲了達成上述目的,本發明之特徵爲:未使用光罩材 本紙張尺度逋用中國國家標準(CNS)A4規格( 210X297公釐)-5 - --------:I 裝------訂----->'-,線 (請先閱讀背面之注意事項再填寫本頁) 406289 經濟部中央標準局員工消费合作社印装 A7 B7 五、發明説明(3 ) 料而藉由各向異性蝕刻法除去層間連接孔底部之銅擴散防 止膜時,藉將不期望除去銅擴散防止膜之部分的銅擴散防 止膜之膜厚,形成比層間連接孔底部的銅擴散防止膜之膜 厚形成較厚*俾除去欲除去之銅擴散防止膜者· 因本發明係剩下不期望除去部分之銅擴散防止膜,且 可除去層間連接孔底部之銅擴散防止膜,因此,可解決起 因於層間連接孔底部之銅擴散防止膜之存在的電子遷移及 靥間連接孔部之電阻的增加· 〔發明之實施形態〕 · 使用圖式詳述本發明之實施形態•在半導體基板等之 上面藉由CVD法形成厚約1 〇 〇 nm左右之氮化矽所成 的蝕刻停止膜1 0 0 ;在上述蝕刻停止膜1 0 0之上面藉 由CVD法形成厚約〇 · 2〜1 · Ovm左右之二氧化矽 所成的層間絕緣膜1 0 5 :在上述層間絕緣膜1 0 5使用 一般平版印刷術法及各向異性蝕刻法形成配線溝110; 在上述配線溝1 1 0之內面及上述層間絕緣膜1 0 5之表 面藉由濺射法或CVD法形成厚約5 0 nm左右之氮化矽 所成的銅擴散防止膜115:在上述銅擴散防止膜115 之上面藉由濺射法形成以銅作爲主成分的配線材料1 2 0 之後,藉後退手段後退直到曝露上述層間絕緣膜1 0 5爲 止,在上述配線溝1 1 0藉形成銅擴散防止膜及以銅作爲 主成分之金屬配線(以下,包括純粹之銅所成的金靥配線 )形成使用作爲配線的下層191。 本紙張尺度適用中國國家標準(CNS)A4規格(2丨0父297公釐)_ 6 - ---------ί I 裝-- (請先閲讀背面之注意事項再填寫本頁) 、π 經濟部中央樣準局貝工消費合作社印裝 406289 A7 _ _B7________ 五、發明説明(4 ) 在蝕刻停止膜,使用一般具有與二氧化矽所成之層間 絕緣膜充分之蝕刻選擇化,且絕緣性優異之氮化矽* 又,在該後退手段,使用各向異性及加工控制性優異 之蝕刻回流(etch back)法或利用活性種子之化學蝕刻 法(R I E法)。 又,在半導體裝置不期望施加過多熱時,藉由CMP 裝置施以研磨俾後退除去也可以。 又,形成於配線溝內110內之銅擴散防止膜,係使 用可簡單地成膜之氮化矽膜乃最具效果,惟銅之擴散速度 爲極慢材料,高電阻率之非晶質鈦S i N,非晶質鎢 SiN,氮化矽,鎢,鉅等也可以。 以下,如第1圖之(2)所示,在層間絕緣膜105 上面藉由濺射法或CVD法以厚約5 0 nm左右形成氮化 矽所成的銅擴散防止膜1 2 5,在上述銅擴散防止膜 12 5之上面藉由(:¥〇法形成厚約0*5#111左右之二 氧化矽所成的層間絕緣膜1 3 0 ;在其上面藉由CVD法 形成厚約1 0 0 nm左右之氮化矽所成的蝕刻停止膜 1 3 5 ;又在其上面藉由CVD法形成層間絕緣膜1 4 0 ,將上述層間絕緣膜1 4 0使用一般之平版印刷術法及各 向異性蝕刻法形成配線溝1 4 5之後’在上述配線溝 1 4 5之內面及層間絕緣膜1 4 0之表面,藉由CVD法 形成厚約5 0 n m左右之氮化矽所成之銅擴散防止膜 1 5 0而形成在層間絕緣膜1 3 0上面使用作爲配線的上 層 1 9 2 。 本纸張尺度適用t國國家樣準(CNS)A4規格( 210X297公釐)-7 - --------J 1裝--------訂---— i 「線 IV « (請先閲讀背面之注意事項再填寫本頁) 406289 A7 B7 五、發明説明(5 ) 然後’如第2圖所示,在第1圖(2)之銅擴散防止 膜15 0上面塗佈光阻層(未予圖示),藉一般之平版印 刷術法圖案化該光阻層將上述圖案化之光阻劑作爲光罩, 使用各向異性蝕刻法,依次蝕層除去銅擴散防止膜1 5 0 ,蝕刻停止膜1 3 5,層間絕緣膜1 3 0及銅擴散防止膜 125,藉曝露上述金靥配線120,形成連接上層 1 9 2與下層1 9 1所用的層間連接孔1 5 5及1 60。 然後,如第3圖所示,在第2圖之狀態下,藉由 C VD法形成厚約5 0 nm左右之氮化矽所成的銅擴散防 止膜165。又,構成如此,則配線溝底部170之銅擴 散防止膜厚度係可形成比層間連接孔底部1 7 5之銅擴散 防止膜厚度爲厚(約兩倍),且在層間連接孔15 5及 1 6 0之側面也可形成銅擴散防止膜。 然後,如第4圖所示,在第3圖之狀態下,不使用光 罩而藉由各向異性蝕刻法除去靥間連接孔底部1 7 5之銅 擴散防止膜。此時,配線溝底部1 7 0之銅擴散防止膜的 一部分也被蝕刻除去,如上所述,配線溝底部1 7 0的銅 擴散肪止膜之厚度比層間連接孔底部1 7 5的銅擴散防止 膜之厚度較厚,如第4圖所示,除去層間連接孔底部 1 7 5之銅擴散防止膜,且可留下配線溝底部1 7 0之銅 擴散防止膜。然後,藉將以銅作爲主成分之配線材料埋入 在層間連接孔1 60及1 55,以及配線溝1 45,俾製 造具有多層配線構造之半導體裝置。 因本賁施形態係如上所示地構成,因此,留下層間連 本紙張尺度逋用中國國家標準(CNS)A4規格( 210X297公釐)-8 - ---------裝-- (請先閲讀背面之注意事項再填寫本頁) 訂 線 經濟部中央橾準局負工消费合作杜印裝 經濟部中央標準局貝工消费合作社印製 406289 A7 _B7__ 五、發明説明(6 ) 接孔底部以外之銅擴散防止膜,且可除去層間連接孔底部 之銅擴散防止膜,而可解決起因於層間連接孔底部的銅擴 散防止膜之存在的電子遷移及增加層間連接孔之電阻。 以下,使用圖式詳述第二實施形態。如第5圖(1 ) 所示,在半導體基板等之上面藉由CVD法形成厚約 1 0 0 nm左右之氮化矽所成的蝕刻停止膜5 0 0,在上 述蝕刻停止膜500之上面藉由CVD法形成厚約〇·2 〜1 · 0#m左右之二氧化矽所成的層間絕緣膜505, 在上述層間絕緣膜5 0 5使用一般之平版印刷術法及各向 異性蝕刻法形成配線溝5 1 0,在上述配線溝5 1 0之內 面及上述層間絕緣膜5 0 5之表面藉由濺射法或CVD法 形成厚約5 0 n m左右之氮化矽所成的銅擴散防止膜 5 1 5之後,又在該半導體裝置5 1 5之上面藉由濺射法 形成以銅爲主成分的配線材料5 2 0。 然後,如第5圖之(2 )所示,使用後退手段,藉蝕 刻除去銅擴散防止膜5 1 5及配線材料5 2 0而曝露上述 層間絕緣膜5 0 5之表面,且也蝕刻除去一部分配線溝 5 1 0內之層間絕緣膜5 1 5及配線材料5 2 0。 作爲後退手段,使用利用活性種子之化學触刻法,例 如反應性離子蝕刻法(以下,簡稱爲R I E法)。該 R I E法係在各向異性及微細加工控制性上優異。 然後,如第5图之(3 )所示,藉由CVD法將氮化 矽所成之銅擴散防止膜5 1.6形成在曝露之層間絕緣膜 505及配線材料520之上面。 本紙張尺度適用中國國家標準(CNS) A4規格(2丨OX297公釐)_ 0 — ---------! !-裝--I--- -1Γ-----^ 一 線 (請先閲讀背面之注意事項再填寫本頁) 406289 _ΐ,__ 五、發明説明(7 ) 之後,如第5圖之(4)所示,藉由R I E法或 CMP裝置研磨銅擴散防止膜5 1 6,後退直到曝露上述 層間絕緣膜5 0 5爲止,在上述配線溝5 1 0藉形成以銅 擴散防止膜及以銅作爲主成分之金靥材料5 2 0形成使用 作爲配線之下層5 9 1。 又,在銅擴散防止膜515,516,使用可簡便地 成膜之氮化矽膜最有效果,惟銅之擴散速度爲極慢材料, 電阻率爲比氮化矽低的非晶質鈦S i N,非晶質鎢S i N ,氮化鈦,鎢,钽等也可以。 以後,如第6圖之(1 )所示,藉由與第一實施形態 之第1圖之(2)至第4圖之工程同樣之工程,製造具有 多層配線構造之半導體裝置。 因本實施形態係如上所示地構成,因此,與第一實施 形態同樣地,留下層間連接孔底部以外之銅擴散防止膜, 且可除去層間連接孔底部之銅擴散防止膜,故可解決依起 因於層間連接孔底部之銅擴散防止膜之存在的電子遷移所 產生之斷線不良及增加層間連接孔之電阻。 經濟部中央標準局貝工消费合作社印簟 (請先聞讀背面之注意事項再填寫本頁) 又,因本實施形態係與第一實施形態不相同,在層間 絕緣膜5 0 5與5 3 0之間未存在銅擴散防止膜,因此, 如第6圖之(2)所示,更容易形成連接在比下層59 1 更下層之通孔5 9 5。 以下,使用圖式詳述第三實施形態。如第7圖之(1 )所示,以與第一實施形態之第1圇之(1 )同樣之方法 形成下層7 9 1。 本紙張尺度遄用中國國家標準(CNS>A4规格(210X297公釐)_ _ 經濟部中央樣準局貝工消费合作社印製 406289 A7 B7 五、發明説明(8 ) 然後,如第7圖之(2 )所示,在層間絕緣膜70 5 上藉由濺射法或CVD法形成厚5 0 nm之氮化矽所成的 銅擴散防止膜7 2 5 ;在上述銅擴散防止膜7 2 5上面藉 由CVD法形成厚約0·左右之二氧化矽所成的層 間絕緣膜7 3 0 :在其上面藉由CVD法形成厚約2 0 0 n m左右之氮化矽所成的蝕刻停止膜7 3 5 ;使用一般之 平版印刷術法及各向異性蝕刻法,在上述蝕刻停止膜 7 3 5施以層間連接孔形成用之圚案化,又在其上面藉由 CVD法形成層間絕緣膜7 4 0,而在層間絕緣膜7 3 0 之上面形成使用作爲配線之上層7 9 2 ·。又,蝕刻停止膜 7 3 5係作爲約2 0 0 nm左右,形成比第一實施形態之 蝕刻停止膜更厚(約兩倍)· 然後,如第8圖所示,在第7圖之(2)之狀態下, 在層間絕緣膜7 4 0上面塗佈光阻層(未予圖示),使用 一般之平版印刷術法圖案化上述光阻層,將上述圖案化之 光阻作爲光罩,藉由各向異性蝕刻法蝕刻除去層間絕緣膜 740形成配線溝745,同時將蝕刻停止膜735作爲 光罩藉蝕刻除去層間絕緣膜7 3 0,也同時地形成層間連 接孔760及755,曝露配線材料720之表面。 又,因在該工程,形成配線溝7 4 5之後連續地形成 層間連接孔755及760,因此,蝕刻停止膜735係 在形成配線溝7 4 5時作用作爲蝕刻停止膜,而在形成層 間連接孔7 5 5及7 6 0時作用作爲光罩。爲此,蝕刻停 止膜7 3 5之膜厚係在形成層間連接孔7 5 5及7 6 0時 本紙張尺度適用中國國家標準(CNS)A4規格( 210X297公釐)_ 11 - ---------- 1 裝-----I-訂------ T ^ (請先閲讀背面之注$項再填寫本頁) uOG289 A7 ____B7_ 五、發明説明(9 ) 被蝕刻除去而不會曝露層間絕綠膜7 3 0,須如上所述地 形成較厚才可以,該膜厚係由蝕刻條件(蝕刻氣體之種類 或蝕刻時間等)及層間連接孔之蝕刻選擇比等來決定· 然後,如第9圖所示*在第8圖之狀態下藉由濺射法 或CVD法形成厚約5 0 nm左右之氮化矽所成的銅擴散 防止膜7 6 5 · 之後,如第1 0圖所示,在第9圓之狀態下,不使用 光罩,而使用各向異性蝕刻法俾蝕刻除去層間連接孔底部 775之銅擴散防止膜765·此時,層間絕緣膜740 之上面及配線溝底部7 7 0之銅擴散防止膜7 6 5也同時 地被除去,惟在配線溝底部7 7 0,係藉蝕刻停止膜 735之存在未曝露層間絕緣膜730。又,之後,藉將 以銅作爲主成分之配線材料埋入在層間連接孔7 6 0及 7 5 5及配線溝7 4 5俾製造多餍配線構造之半導體裝置 〇 經濟部中央標準局負工消费合作社印製 (請先閲讀背面之注意事項再填寫本頁) 又,如上所述,因蝕刻停止膜7 3 5係由具有銅擴散 防止作用之物質(在本實施形態爲氮化矽)所構成,因此 ,包含於配線材料之銅原子不會從配線溝底部7 7 0擴散 至層間絕緣膜7 3 0。 因本實施形態係如上所述地構成,可除去層間連接孔 底部之銅擴散防止膜,因此,可解決起因於層間連接孔底 部之銅擴散防止膜的存在之電子遷移的斷線不良及層間連 接孔之增加電阻。 以下,使用圖式詳述第四實施形態。如第1 1圖所示 本紙張尺度適用中國國家橾準(CNS)A4規格( 210X297公釐)一 12 - 經濟部中央標準局貝工消費合作社印製 406289 at B7 五、發明説明(10 ) ,藉由與表示於第5圖之(1 )至(4)之工程完全同樣 之工程,在層間絕緣膜1 1 0 5形成有配線溝1 1 1 〇, 在上述配線溝1110之周圍形成埋入有覆蓋於銅擴散防 止膜1 1 1 6及1 1 1 5之配線材料1 1 2 0的下層 119 1。 然後,藉由與表示於第三實施形態之第7圖之(2 ) 至表示於第1 0圖之工程完全同樣之工程,製造如表示於 第1 1圖(2)的多層配線構造之半導體裝置。 又,在銅擴散防止膜1 1 1 6,使用可簡單地成膜的 氮化矽膜最有效果,惟銅之擴散速度爲極慢之材料,電阻 率比氮化矽低之非晶質鈦S i N,非晶質鎢S i N,氮化 鈦,鎢,鉅等也可以。 因本實施形態係如上所述地構成,因此與第三實施形 態同樣地,可除去層間連接孔底部之銅擴散防止膜,因此 ,可解決起因於層間連接孔底部之銅擴散防止膜的存在之 電子遷移的斷線不良及層間連接孔之增加電阻。 又,以上之實施形態,係形成於上層之配線溝1 4 5 及7 4 5與形成於下層之配線溝1 1 0及7 1 0均平行, 惟如第1 2圓所示,上層之配線1 1 9 6及下層之配線 1 19 7係在扭轉之位置也可以。 又,在以上之實施形態,配線材料之主成分係銅,惟 將鋁作爲主成分(包含純粹之鋁)也可以。在此時,代替 銅擴散防止膜,使用阻擋金靥材料。 又,在半導體裝置之設計上,有配設配線之限制時, 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)-13 - ^n· HI *1^1 ^^1 L H· 1·^— H— ^^1 ^^1 IL V (請先閲讀背面之注意事項再填寫本頁) 406289 A7 經濟部中央標準局貝工消费合作社印裝 B7五、發明説明(11 ) 上層與下層之配線係平走較理想* 又,下層之配線與上層之配線平走時,爲了藉由層間 連接等最短地連接上層配線與下層配線,而下層配線係須 在上層配線之正下方•但是,將上層與下靥之配線成爲扭 轉位置時,因不需要而可增加上層及下層之配線的配置之 自由度β 又,依照本發明,因可除去層間連接孔底部之銅擴散 防止膜,因此,可解決起因於層間連接孔底部之銅擴散防 止膜的存在之電子遷移的斷線不良及層間連接孔之增加電 阻,且可確實地防止銅對於層間絕緣膜之擴散。又可增加 配線的配置自由度丨 爲此,本發明係可利用被要求配線之低電阻化,長壽 命化,配置自由度之增加及防止銅等之配線材料對於周邊 之流出的髙稹體比DRAM等。 〔發明之效果〕 因本發明係如上地構成,因此可僅除去層間連接孔底 部之銅擴散防止膜。爲此,可縮短起因於藉由層間連接孔 底部之銅擴散防止膜之存在所引起的電子遷移之配線軎命 及可抑制依增加層間連接孔之電阻所產生的半導體裝置之 特性劣化。 〔圖面之簡單說明〕 第1圖係表示本發明之第一實施形態的半導體裝置之 ------^----—裝-------Γ 訂-----線 \ (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格( 210X297公釐)_ 14 _ 經濟部中央標準局貝工消费合作社印製 406289 A7 __________B7__ 五、發明说明(12 ) 製造工程剖面圖。 第2圖係表示本發明之第一實施形態的半導體裝置之 製造工程剖面圖。 第3圖係表示本發明之第一實施形態的半導體裝置之 製造工程剖面圖。 第4圖係表示本發明之第一實施形態的半導體裝置之 製造工程剖面圖。 第5圖係表示本發明之第二實施形態的半導體裝置之 製造工程剖面圖。 第6圖係表示本發明之第二實施形態的半導體裝置之 製造工程剖面圖。 第7圖係表示本發明之第三實施形態的半導體裝置之 製造工程剖面圖。 第8圖係表示本發明之第三實施形態的半導體裝置之 製造工程剖面圖。 第9圖係表示本發明之第三實施形態的半導體裝置之 製造工程剖面圖。 第1· 0圖係表示本發明之第三實施形態的半導體裝置 之製造工程剖面圖。 第1 1圖係表示本發明之第四實施形態的半導體裝置 之製造工程剖面圖。 第12圖係表示本發明之第五實施形態的半導體裝置 之製造工程剖面圖· 第13圖係表示具有以往之多層配線構造的半導體裝 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)-15 - ---------1 裝---^---_—1T------ 1 線 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央橾準局貝工消费合作社印製 406289 A7 A7 _B7__ 五、發明説明(13 ) 置之製造工程剖面圖。 第14圖係表示具有以往之多層配線構造的半導體裝 置之製造工程剖面圖· 第15圖係表示具有以往之多層配線構造的半導體裝 置之層間連接孔附近之擴大剖面圖。, 〔圖號之說明〕 191、 791、1291 下層 192、 792、1293 上層 120、1201、112、1122·金靥配線 105、130、145、740 '1221 '1292 層間絕緣膜 115、125、150 '165、515、516、 715、725 銅擴散防止膜 765、1115、1117、1118、1121、 1 1 2 2 ' 1 2 1 1 銅擴散防止膜 1 2 1 2、1 2 1 3 銅擴散防止膜 130、140、155、160、505、555 層 間連接孔 560、760、755、1205、1206 ㈣帛 接孔 110、145、510、710、745、112〇、 1294 配線溝 120、520、1200、1201 配線材料 本紙張尺度適用t國國家標準(CNS ) A4規格(210X297公釐)_ μ _ ~~---- --------Γ Ϊ裝---i--r_ 訂----一"* 線 (請先閲讀背面之注意事項再填寫本頁) A7 B7 五、發明説明(14 ) 1294 底部 100、135、735、500 蝕刻停止膜 170 配線溝底部 175 層間連接孔底部 ----------Ί------—II-----威 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局貝工消費合作社印裝 17 - 本紙張尺度適用中國國家橾準(CNS ) A4規格(210X297公釐)

Claims (1)

  1. 406289 A8 B8 C8 D8 六、申請專利範園 (請先聞讀背面之注意事項再填寫本頁) 1 . 一種半導體裝置之製造方法,靥於在半導體基板 上經由絕緣膜互相電氣式地連接形成於不相同層之第1及 第2銅配線的半導體方法,其特徵爲:具備 配設周圍被第1銅擴散防止膜覆蓋之上述第1銅配線 的工程,及 在上述第1銅配線上形成具有配線溝之層間絕緣膜的 工程,及 在上述層間絕緣膜表面形成第2銅擴散防止膜的工程 ,及 形成從上述配線溝底部直至上述第1銅配線之連接孔 的工程,及 在上述配線溝之底部及側面,及上述連接孔之底部及 側面形成第3銅擴散防止膜的工程*及 藉各向異性蝕刻在上述配線溝之側面及上述連接孔之 側面殘留上述第3銅擴散防止膜下能除去上述連接孔底部 之上述第3銅擴散防止膜,俾曝露上述第1銅配線的工程 ,及 經濟部中央標準局員工消费合作社印策 在上述配線溝及上述連接孔藉埋設銅材料,形成與上 述第1銅配線電氣式地連接之上述第2銅配線的工程, 上述第1銅配線與上述第2銅配線未經由上述第3銅 擴散防止膜被直接連接下,上述連接孔之上述銅材料係藉 由上述第3銅擴散防止膜所覆蓋者。 2 . —種半導體裝置之製造方法,屬於在半導體基板 上經由絕緣膜互相電氣式地連接形成於不相同層之第1及 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐〉 -18 - j /\ ο 406289 cs D8 々、申請專利範圍 第2銅配線的半導體方法,其特徵爲:具備 配設周圔被第1銅擴散防止膜覆蓋之上述第1銅配線 的工程,及 在上述第1銅配線上依次形成第1層絕緣膜,連接孔 預定領域被開口之第2銅擴散防止膜及形成配線溝之第2 餍間絕,緣膜的工程,及 形成從上述配線溝底部經由上述第2銅擴散防止膜之 開口部分直至上述第1銅配線之連接孔的工程,及 在上述配線溝之底部及側面,及上述連接孔之底部及 側面形成第3銅擴散防止膜的工程,及‘ 藉各向異性蝕刻在上述配線溝之側面及上述連接孔之 側面殘留上述第3銅擴散防止膜下能除去上述連接孔底部 之上述第3銅擴散防止膜,俾曝露上述第1銅配線的工程 ,及 在上述配線溝及上述連接孔藉埋設銅材料,形成與上 述第1銅配線電氣式地連接之上述第2銅配線的工程, 經濟部中央標準局貝工消費合作社印裝 (請先Μ讀背面之注$項再填寫本頁) 上述第1銅配線與上述第2銅配線未經由上述第3銅 擴散防止膜被直接連接下,上述連接孔之上述銅材料係藉 由上述第3銅擴散防止膜所覆蓋者· 3 . —種半導體裝置之製造方法,其特徴爲:具備 在半導體基板上經由絕緣膜形成具有周圔被第一配線 材料擴散防止膜所覆蓋之第一配線的配線靥的工程,及 在上述配線層上面疊層形成絕緣性之第一層間絕緣膜 層,蝕刻停止膜,第二層間絕緣膜層的工程,及/ 本紙張尺度適用中國國家標準(CNS Μ4規格(210X297公釐) -19 - 406289 A8 B8 C8 D8 六、申請專利範圍 (請先閲讀背面之注意事項再填寫本頁) 在上述第二層間絕緣膜形成第一配線溝,同時在該第 二層間絕緣膜之上面及上述第一配線溝之內面形成第二配 線材料擴散防止膜的工程,及 選擇性地除去上述第一配線溝底部之上述第二配線材 料擴散防止膜,上述蝕刻停止膜,上述第一層間絕緣膜及 覆蓋上述第一配線材料之上面之上述第一配線材料擴散防 止膜,藉曝露上述第一配線形成層間連接孔的工程,及 在上述第二配線材料擴散防止膜表面及上述層間連接 孔內側面及上述第一配線材料上面形成第三配線材料擴散 防止膜的工程,及 ‘ 在上述層間連接孔內之側面及上述第一配線溝側面上 殘留上述第三配線材料擴散防止膜,除去上述第一配線材 料上面之第三配線材料擴散防止膜的工程,及 在上述層間連接孔及上述第一配線溝埋入第二配線材 料的工程。 經濟部中央揉準局負工消費合作社印製 4.一種半導體裝置之製造方法,屬於具有側面具有 覆蓋於配線材料擴散防止膜之配線的配線層經由層間絕緣 膜被叠層,連接上述配線間之接觸孔的製造方法,其特徴 爲:具備 形成具有側面藉配線材料擴散防止膜覆蓋之第一配線 材料的配線層的工程,及, 藉由在上述配線層之上面形成第一層間絕緣膜俾形成 層間絕緣膜層的工程,及 在上述層間絕緣膜層之上面形成蝕刻停止膜的工锃V 本紙張尺度逋用中國國家標準(CNS ) A4現格(210X297公釐〉 -20 - 406289 A8 B8 C8 D8 r、申請專利祀圍 及 在上述蝕刻停止膜之上面形成第二層間絕緣膜的工程 ,及 在上述第二層間絕緣膜之上面塗佈第一光阻靥之後, 藉由平版印刷術法圖案化,上述第一配線材料之上方的上述 第一光阻層*將上述被圔案化之第一光阻層作爲光罩,藉 由各向異性蝕刻法直到曝露上述蝕刻停止膜爲止蝕刻除去 上述第二層間絕緣膜而在上述第二層間絕緣膜形成第一配 線溝的工程,及 剝離上述第一光阻層之後*在上述第二層間絕緣膜之 上面及上述第一配線溝之內面形成第一配線材料擴散防止 膜的工程,及 在上述第一配線材料擴散防止膜之上面塗佈第二光阻 層,藉由平版印刷術法圖案化上述第一配線溝內之上述第 二光阻層,將上述被圖案化之第二光阻層作爲光罩,藉由 各向異性蝕刻法,除去上述第一配線溝底部之上述第一配 線材料擴散防止膜及上述蝕刻停止膜及上述第一層間絕緣 膜及覆蓋上述第一配線材料之上面的上述配線材料擴散防 / 止膜,藉曝露第一配線材料形成層間連接孔的工程,及 剝離上述第二光阻層之後,至少在上述第一配線材料 擴散防止膜表面及上述層間連接孔側面及上述第一配線材 料之上面形成第二配線材料擴散防止膜的工程,及/ 殘留上述層間連接孔內之側面及上述第一配線溝側面 之第二配線材料擴散防止膜,藉由各向異性蝕刻法除去上 本紙張尺度逋用中國國家標準(CNS ) A4規格(210X297公釐) ---------裝------訂------ά. (請先閲讀背面之注項再填寫本頁) 經濟部中央標準局員工消費合作社印裝 -21 - 406289 A8 B8 C8 D8 六、申請專利範圍 述第一配線材料之上面之第二配線材料擴散防止膜的工程 ,及 (請先閲讀背面之注意事項再填寫本頁) 在上述層間連接孔及上述第一配線溝埋入第二配線材 料的工程。 5 .如申請專利範圍第3項所述的半導體裝置之製造 方法,其中,形成上述配線層的工程係由:在第三餍間絕 緣膜藉由平版印刷術法及各向異性蝕刻法形成第二配線溝 的工程,及 在上述第二配線溝埋入上述第一配線材料時,形成以 第三配線材料擴散防止膜覆蓋上述第一配線材料之僅接觸 於上述第二配線溝之面的第一配線材料的工程,及 在上述第三層間絕緣膜之上面及埋入在上述第二配線 溝之上述第一配線材料的上面形成第四配線材料擴散防止 膜的工程所構成· 經濟部中央標牟局貝工消費合作社印裝 6 .如申請專利範圍第4項所述的半導體裝置之製造 方法,其中*形成上述配線層的工程係由:在第三層間絕 緣膜藉由平版印刷術法及各向異性蝕刻法形成第二配線溝 的工程,及 在上述第二配線溝埋入上述第一配線材·料時,形成以 第三配線材料擴散防止膜覆蓋上述第一配線材料之僅接觸 於上述第二配線溝之面的第一配線材料的工程,及 在上述第三層間絕緣膜之上面及埋入在上述第二配線 溝之上述第一配線材料的上面形成第四配線材料擴散防止 膜的工程所構成。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -22 - 406289 經濟部中央標準局負工消費合作社印製 A8 B8 C8 D8六、申請專利範園 7. 如申請專利範圍第3項所述的半導體裝置之製造 方法,其中,形成上述配線層的工程係由:在第三層間絕 緣膜藉由平版印刷術法及各向異性蝕刻法形成第二配線溝 的工程,及 在上述第二配線溝之內面及上述第三層間絕緣膜之上 面形成第三配線材料擴散防止膜的工程,及 在上述第一配線材料擴散防止膜之上面叠層形成上述 第一配線材料的工程,及 藉用以後退直到上述第三層間絕緣膜曝露爲止所用之 第一後退除去手段除去上述叠層形成之第三配線材料擴散 防止膜及上述第一配線材料,.同時也後退除去上述第二配 線溝內之第三配線材料擴散防止膜及上述第一配線材料之 一部分的工程,及 在至少二部分被除去之上述第二配線溝內之第三配線 材料擴散防止膜及上述第一配線材料之上面形成第四配線 材料擴散防止膜的工程,及 藉由第二後退除去手段將上述第四配線材料擴散防止 膜直到曝露上述第三層間絕緣膜爲止,僅一部分被除去之 上述配線溝.內殘留第四配線材料擴散防止膜的工程所構成 〇 8. 如申請專利範圍第4項所述的半導體裝置之製造 方法,其中,形成上述配線層的工程係由:在第三層間絕 緣膜藉由平版印刷術法及各向異性蝕刻法形成第二配線溝 的工程,及 ----------i------ir------Ά (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家橾準(CNS ) A4規格(210X297公釐) -23 - 406289 A8 B8 C8 D8 六、申請專利範圍 在上述第二配線溝之內面及上述第三層間絕緣膜之上 面形成第三配線材料擴散防止膜的工程,及 在上述第一配線材料擴散防止膜之上面疊層形成上述 第一配線材料的工程,及 藉用以後退直到上述第三層間絕緣膜曝露爲止所用之 第一後退除去手段除去上述疊層形成之第三配線材料擴散 防止膜及上述第一配線材料,同時也後退除去上述第二配 線溝內之第三配線材料擴散防止膜及上述第一配線材料之 一部分的工程,及 在至少二部分被除去之上述第二配線溝內之第三配線 材料擴散防止膜及上述第一配線材料之上面形成第四配線 材料擴散防止膜的工程,及 藉由第二後退除去手段將上述第四配線材料擴散防止 膜直到曝露上述第三層間絕緣膜爲止,僅一部分被除去之 上述配線溝內殘留第四配線材料擴散防止膜的工程所構成 0 9 . 一種半導體裝置之製造方法,其特徵爲:具備 在半導體基板上經由絕緣膜形成具有周圍被第一配線 材料擴散防止膜所覆蓋之第一配線的配線溝的工程,及< 在上述配線層上面叠層形成絕緣性之第一層間絕緣膜 層,連接孔預定領域被開口之第二配線材料擴散防止膜, 第二層間絕緣膜層的工程,及 在上述第二層間絕緣膜形成第一配線溝的工程,及, 經由上述第一配線溝底部之上述第二配線材料擴散防 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐〉 --------^ i------IT------▲ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標芈局負工消費合作社印製 -24 - ABCD 406289 六、申請專利範圍 (請先聞讀背面之注$項再填寫本頁) 止膜之被開口之領域,選擇性地除去上述第一層間絕緣膜 及覆蓋上述第一配線材料之上面之上述第一配線材料擴散 防止膜,藉曝露上述第一配線形成層間連接孔的工程,及 在上述第二配線材料擴散防止膜表面及上述層間連接 孔內側面及上述第一配線材料上面及上述第二層間絕緣膜 表面形成第三配線材料擴散防止膜的工程,及 在上述層間連接孔內之側面及上述第一配線溝側面上 殘留上述第三配線材料擴散防止膜,除去上述第一配線材 料上面之第三配線材料擴散防止膜的工程,及 在上述層間連接孔及上述第一配線溝埋入第二配線材 料的工程。 1 〇 . —種半導體裝置之製造方法,屬於具有側面具 有覆蓋於配線材料擴散防止膜之配線的配線層經由層間絕 緣膜被叠層,連接上述配線間之接觸孔的製造方法,其特 徵爲:具備 形成具有側面藉配線材料擴散防止膜覆蓋之第一配線 材料的配線層的工程,及 經濟部中央標準局貝工消費合作社印製 藉由在上述配線層之上面形成第一層間絕緣膜俾形成 層間絕緣膜層的工程,及 在上述層間絕緣膜層之上面形成具有銅擴散防止功能 的蝕刻停止膜的工程,及 在上述蝕刻停止膜之上面塗佈第一光阻層,使用平版 印刷術法圖案化上述第一配線材料之上方部分的上述第一 光阻層,將上述圖案化之第一光阻層作爲光罩,藉由各向 本紙張尺度逍用中國國家標準(CNS ) A4規格(210X297公釐) -25 - 經濟部中央標準局貝工消費合作社印製 406289 H C8 D8 六、申請專利範圍 異性蝕刻法蝕刻除去上述蝕刻停止膜俾形成層間連接孔形 成用圖案的工程,及 剝離上述第一光阻層之後,在上述層間連接孔形成用 圖案內及上述蝕刻停止膜之表面形成第二層間絕緣膜的工 程,及 在上述第二層間絕緣膜之上面塗佈第二光阻層,使用 平版印刷術法,圖案化至少上述層間連接孔形成用圖案上 部之上述第二光阻餍,將上述圖案化之第二光阻層使用於 光罩,藉蝕刻除去第二層間絕緣膜形成第一配線溝,且將 被圖案化於層間連接孔形成用之上述蝕刻停止膜及上述圖 案化之第二光阻層作爲光罩,蝕刻除去第一層間絕緣膜及 覆蓋上述第一配線材料之上面的配線材料擴散防止膜,藉 曝露上述第一配線材料形成曆間連接孔的工程,及 剝離上述圖案化之第二光阻層之後,在上述第一配線 溝之內面及上述第二層間絕緣膜之上面及上述層間連接孔 之側面及上述曝露之第一配線材料之上面形成第一配線材 料擴散防止膜的工程,及 使用各向異性蝕刻法除去上述第二層間絕緣膜之上面 及上述第一配線材料上面之第一配線材料擴散防止膜的工 程,及 在上述層間連接孔及上述第一配線溝埋入第二配線材 料的工程。 1 1 .如申請專利範圍第9項所述的半導體裝置之製 造方法,其中,形成上述配線層的工程係由:在第三層間 本紙張尺度適用中國國家標準(CNS ) A4洗格(210X297公釐〉 ---------^ .、裝------訂-----/ '線 (請先閲讀背面之注意事項再填寫本頁) -ώΟ ~ 406289 A8 B8 C8 D8 六、申請專利範圍 絕緣膜藉由平版印刷術法及各向異性蝕刻法形成第二配線 溝的工程,及 (請先聞讀背面之注意事項再填寫本頁) 在上述第二配線溝埋入上述第一配線材料時,以第三 配線材料擴散防止膜覆蓋上述第一配線材料之側面的工程 所構成。 1 2 .如申請專利範圍第1 0項所述的半導體裝置之 製造方法,其中,形成上述配線層的工程係由:在第三層 間絕緣膜藉由平版印刷術法及各向異性蝕刻法形成第二配 線溝的工程,及 在上述第二配線溝埋入上述第一配線材料時,以第三 配線材料擴散防止膜覆蓋上述第一配線材料之側面的工程 所構成。 1 3 .如申請專利範圔第9項所述的半導體裝置之製 造方法,其中,形成上述配線層的工程係由:在第三層間 絕緣膜藉由平版印刷術法及各向異性蝕刻法形成第二配線 溝的工程,及 經濟部中央標準局負工消費合作社印裝 在上述第二配線溝之內面及上述第三層間絕緣膜之上 面形成第三配線材料擴散防止膜的工程,及 在上述第一配線材料擴散防止膜之上面叠層形成上述 第一配線材料藉用以後退直到上述第三層間絕緣膜曝露爲 止所用之第一後退除去手段除去上述昼層形成之第二配線 材料擴散防止膜及上述第一配線材料,同時也後退除去上 述第二配線溝內之第三配線材料擴散防止膜及上述第一配 線材料之一部分的工程’及 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -27 - 40628^ A8 B8 C8 D8 六、申請專利範圍 在至少二部分被除去之上述第二配線溝內之第二配線 材料擴散防止膜及上述第一配線材料之上面形成第三配線 材料擴散防止膜,藉由第二後退除去手段將上述第三配線 材料擴散防止膜直到曝露上述第三層間絕緣膜爲止,僅一 部分被除去之上述配線溝內殘留第三配線材料擴散防止膜 的工程所構成》 1 4 .如申請專利範圍第1 0項所述的半導體裝置之 製造方法,其中,形成上述配線層的工程係由:在第三層 間絕緣膜藉由平版印刷術法及各向異性蝕刻法形成第二配 線溝的工程,及 · 在上述第二配線溝之內面及上述第三層間絕緣膜之上 面形成第二配線材料擴散防止膜的工程,及 在上述第一配線材料擴散防止膜之上面叠層形成上述 第一配線材料藉用以後退直到上述第三層間絕緣膜曝露爲 止所用之第一後退除·去手段除去上述叠層形成之第三配線 材料擴散防止膜及上述第一配線材料,同時也後返除去上 述第二配線溝內之第三配線材料擴散防止膜及上述第一配 線材料之一部分的工程,及 在至少二部分被除去之上述第二配線溝內之第二配線 材料擴散防止膜及上述第一配線材料之上面形成第三配線 材料擴散防止膜,藉由第二後退除去手段將上述第三配線 材料擴散防止膜直到曝露上述第三層間絕緣膜爲止,僅一 部分被除去之上述配線溝內殘留第三配線材料擴散防止膜 的工程所構成。 本紙張尺度逋用中國國家橾準(CNS ) A4規格(210X297公釐) --------k、裝-- (請先閲讀背面之注意事項再填寫本頁) 、?τ 線- 經濟部中央標準局貞工消費合作社印裝 -28 - 406289 A8 B8 C8 D8 六、申請專利範圍 (請先閲讀背面之注意事項再填寫本頁) 15.如申請專利範圍第7項所述的半導體裝置之製 造方法,其中,上述第一及第二後退除去手段係利用活性 種子之化學蝕刻法者》 1 6 .如申請專利範圍第8項所述的半導體裝置之製 造方法,其中,上述第一及第二後退除去手段係利用活性 種子之化學蝕刻法者。 1 7 .如申請專利範圍第1 3項所述的半導體裝置之 製造方法,其中,上述第一及第二後退除去手段係利用活 性種子之化學蝕刻法者》 1 8 .如申請專利範圍第1 4項所述的半導體裝置之 製造方法,其中,上述第一及第二後退除去手段係利用活 性種子之化學蝕刻法者。 1 9 .如申請專利範圍第7項所述的半導體裝置之製 造方法,其中,上述第二後退除去手段係研磨法者。 2 0 .如申請專利範圍第8項所述的半導體裝置之製 造方法,其中,上述第二後退除去手段係研磨法者。 經濟部中央標準局員工消费合作社印裝 21.如申請專利範圍第13項所述的半導體裝置之 製造方法,其中,上述第二後退除去手段係研磨法者》 2 2 .如申請專利範圔第1 4項所述的半導體裝置之 製造方法,其中,上述第二後退除去手段係研磨法者。 2 3 .如申請專利範圍第3項所述的半導體裝置之製 造方法,其中,上述蝕刻停止膜係氮化矽所成者。 2 4 .如申請專利範圍第4項所述的半導體裝置之製 造方法,其中,上述蝕刻停止膜係氮化矽所成者。 本纸張尺度適用中國國家揲準(CNS ) A4规格(21〇Χ297公嫠〉 -29 - A8 B8 C8 D8 、申請專利乾圍 2 5 .如申請專利範圍第9項所述的半導體裝置之製 造方法,其中,上述蝕刻停止膜係氮化矽所成者。 2 6 .如申請專利範圍第1 0項所述的半導體裝置之 製造方法,其中,上述蝕刻停止膜係氮化矽所成者。 --------i------IT------^ (請先聞讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印裝 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -30 -
TW086103600A 1996-03-25 1997-03-21 Method of manufacturing a semiconductor device TW406289B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8067627A JPH09260492A (ja) 1996-03-25 1996-03-25 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
TW406289B true TW406289B (en) 2000-09-21

Family

ID=13350417

Family Applications (1)

Application Number Title Priority Date Filing Date
TW086103600A TW406289B (en) 1996-03-25 1997-03-21 Method of manufacturing a semiconductor device

Country Status (6)

Country Link
US (1) US5966634A (zh)
EP (1) EP0798778A3 (zh)
JP (1) JPH09260492A (zh)
KR (1) KR970067543A (zh)
CN (1) CN1167338A (zh)
TW (1) TW406289B (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3695000B2 (ja) * 1996-08-08 2005-09-14 株式会社ニコン 露光方法及び露光装置
US6169664B1 (en) * 1998-01-05 2001-01-02 Texas Instruments Incorporated Selective performance enhancements for interconnect conducting paths
US6235632B1 (en) * 1998-01-13 2001-05-22 Advanced Micro Devices, Inc. Tungsten plug formation
JP3114864B2 (ja) * 1998-04-16 2000-12-04 日本電気株式会社 半導体基板における微細コンタクトおよびその形成方法
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
DE19843624C1 (de) 1998-09-23 2000-06-15 Siemens Ag Integrierte Schaltungsanordnung und Verfahren zu deren Herstellung
US6306732B1 (en) * 1998-10-09 2001-10-23 Advanced Micro Devices, Inc. Method and apparatus for simultaneously improving the electromigration reliability and resistance of damascene vias using a controlled diffusivity barrier
JP2000150647A (ja) * 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
FR2789803B1 (fr) * 1999-02-12 2002-03-08 St Microelectronics Sa Procede de realisation d'une connexion metallique verticale dans un circuit integre
US6083822A (en) * 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
FR2798512B1 (fr) * 1999-09-14 2001-10-19 Commissariat Energie Atomique Procede de realisation d'une connexion en cuivre au travers d'une couche de materiau dielectrique d'un circuit integre
US6412786B1 (en) * 1999-11-24 2002-07-02 United Microelectronics Corp. Die seal ring
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6554979B2 (en) 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
US7132363B2 (en) * 2001-03-27 2006-11-07 Advanced Micro Devices, Inc. Stabilizing fluorine etching of low-k materials
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
JP2003142579A (ja) * 2001-11-07 2003-05-16 Hitachi Ltd 半導体装置の製造方法および半導体装置
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
JP4005873B2 (ja) * 2002-08-15 2007-11-14 株式会社東芝 半導体装置
US20040155349A1 (en) * 2003-01-07 2004-08-12 Naofumi Nakamura Semiconductor device and method of fabricating the same
KR100660915B1 (ko) * 2006-02-03 2006-12-26 삼성전자주식회사 반도체 소자의 배선 형성 방법
US8079836B2 (en) * 2006-03-01 2011-12-20 Novartis Ag Method of operating a peristaltic pump
JP4155587B2 (ja) * 2006-04-06 2008-09-24 株式会社東芝 半導体装置の製造方法
JP2008078183A (ja) * 2006-09-19 2008-04-03 Elpida Memory Inc 相変化メモリ装置および相変化メモリ装置の製造方法
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
JP2008205122A (ja) * 2007-02-19 2008-09-04 Nec Electronics Corp 半導体装置およびその製造方法
CN101110393B (zh) * 2007-07-05 2012-03-07 复旦大学 一种CuxO电阻存储器制备与铜互连工艺集成的方法
CN101145598B (zh) * 2007-08-30 2010-08-11 复旦大学 一种改善CuxO电阻存储器疲劳特性的方法
US8017514B2 (en) 2008-05-05 2011-09-13 International Business Machines Corporation Optically transparent wires for secure circuits and methods of making same
US8853072B2 (en) 2011-06-06 2014-10-07 Micron Technology, Inc. Methods of forming through-substrate interconnects
JP7278184B2 (ja) 2019-09-13 2023-05-19 キオクシア株式会社 半導体装置の製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5071518A (en) * 1989-10-24 1991-12-10 Microelectronics And Computer Technology Corporation Method of making an electrical multilayer interconnect
JP3139781B2 (ja) * 1991-08-07 2001-03-05 沖電気工業株式会社 半導体装置およびその製造方法
US5317192A (en) * 1992-05-06 1994-05-31 Sgs-Thomson Microelectronics, Inc. Semiconductor contact via structure having amorphous silicon side walls
US5354712A (en) * 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
JP2751820B2 (ja) * 1994-02-28 1998-05-18 日本電気株式会社 半導体装置の製造方法
US5413962A (en) * 1994-07-15 1995-05-09 United Microelectronics Corporation Multi-level conductor process in VLSI fabrication utilizing an air bridge
US5472913A (en) * 1994-08-05 1995-12-05 Texas Instruments Incorporated Method of fabricating porous dielectric material with a passivation layer for electronics applications
US5818110A (en) * 1996-11-22 1998-10-06 International Business Machines Corporation Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same

Also Published As

Publication number Publication date
CN1167338A (zh) 1997-12-10
EP0798778A3 (en) 1998-07-01
KR970067543A (ko) 1997-10-13
EP0798778A2 (en) 1997-10-01
US5966634A (en) 1999-10-12
JPH09260492A (ja) 1997-10-03

Similar Documents

Publication Publication Date Title
TW406289B (en) Method of manufacturing a semiconductor device
US7851924B2 (en) Method of manufacturing semiconductor device, and semiconductor device
TW560037B (en) Self-aligned conductive line for cross-point magnetic memory integrated circuits
TWI269404B (en) Interconnect structure for semiconductor devices
TWI247563B (en) Interposer and method of making same
TW396524B (en) A method for fabricating dual damascene
TW426980B (en) Wire bonding to copper
JP3184159B2 (ja) バリヤ層及びその製造方法
TWI278062B (en) Semiconductor device and manufacturing method thereof
US7144744B2 (en) Magnetoresistive random access memory device structures and methods for fabricating the same
US20060244144A1 (en) Semiconductor device including multi-layered interconnection and method of manufacturing the device
TW200405520A (en) MRAM MTJ stack to conductive line alignment method
CN100403512C (zh) 具有低电阻值的铜-阻障层镶嵌内连线结构及其制作方法
KR20000048394A (ko) 반도체 장치 및 그 제조 방법
US6635546B1 (en) Method and manufacturing MRAM offset cells in a damascene structure
US6221759B1 (en) Method for forming aligned vias under trenches in a dual damascene process
JP4138232B2 (ja) ストレスを減少してパッドの下に回路を入れることができるようにするためのデュアル食刻ボンドパッド構造およびそれを形成するための方法
JP3468188B2 (ja) 半導体装置とその製法
TW201438107A (zh) 半導體裝置及其製造方法
TW569384B (en) Method of manufacturing semiconductor device
TW508784B (en) Method of manufacturing a semiconductor device and a semiconductor device
JP2000031147A (ja) 半導体装置の製造方法
JP3544464B2 (ja) 半導体装置およびその製造方法
US20110284280A1 (en) Optically transparent wires for secure circuits and methods of making same
JP3521200B2 (ja) 配線構造およびその形成方法