TW304297B - - Google Patents

Download PDF

Info

Publication number
TW304297B
TW304297B TW085109890A TW85109890A TW304297B TW 304297 B TW304297 B TW 304297B TW 085109890 A TW085109890 A TW 085109890A TW 85109890 A TW85109890 A TW 85109890A TW 304297 B TW304297 B TW 304297B
Authority
TW
Taiwan
Prior art keywords
layer
oxide layer
item
insulating layer
ild
Prior art date
Application number
TW085109890A
Other languages
English (en)
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Application granted granted Critical
Publication of TW304297B publication Critical patent/TW304297B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

3〇4297
t明背景 !·發明範圍 本發明是關於半導體製造之範固其更特定而言之是關於 在積體電路中形成一互連結構之方法。 2_相關技藝討論 經濟部中央標準局員工消費合作衽印製 今日積禮電路實質上是由形成在矽基材或碎井(wdiR 或之上數以百萬的主動裝置所構成。起初互相隔離之主動 裝置後來互相連結以形成例如微處理器之功能性電路與元 件。該等裝置之互連是透過利用$户斤熟知之多層互連而達 成。圖1所顯示者爲一理想化多層互連結構1〇〇之橫截面 :互連結構正常有一第一金屬化層,一互連層1〇2 (典型 疋鋁合金含有至多3%的銅),一第二金屬化層1〇4,和有時 —第三或甚至是第四金屬化層。例如二氧化矽(Si〇2)之中 間層介電質106 (ILDs)使用於電性隔絕在矽基材或矽井ι〇8 上不同之金屬化層。此不同互連層之間的電性連接是透過 利用形成於ILD 106中的金屬化通道ι1〇而完成。於_類似 的方法中,利用金屬接觸112在形成於井ι〇8中的互連^與 裝置間形成電性連接β金屬通道110與接觸112,於本發明 往後的文件中統稱(collectively referred to)爲,,通道"或"插 頭11,其一般是以鎢114所填充並且一般所使用者爲如鈦之 附著層116。 · —種目前使用於超大型積體電路中較佳的中'間層介電質 膜疋利用大氣化學乳相沈積(CVD)法所形成之蝴臂碎酸味 玻璃(BPSG)膜。大氣CVD BPSG層之所以爲較佳士皿 项因是 -4- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 五、發明説明(2 A7 B7 經濟部中央播準局負工消費合作社印製 因其能夠形成非常好的共形並且所以能填充在一半導體基 材上配置高密度主動装置時所產生之高景觀比間隙。大氣 BPSG層可塡充高景觀比之間隙而於該處不產生空孔。此 外,大氣BPSG層之所以較佳之原因是因其使用之沈積機 械與例如電漿增強化學氣相沈積(PECVD)設備等之其它 ILD設備比較之下相對上較便宜。其它,大氣BpSG層可以 相當迅速的沈積而容許晶片有良好的通過料量(thr〇ughput) 〇 目前於一超大型積體電路111^1中之通道11〇與112上形成 插頭較彳圭的方法爲鎢插頭方法其所利用之方法爲化學機械 磨光法。於典型的鎢插頭方法中,通道孔洞被蝕刻通過一 ILD至互連線或至其下所形成之半導體基材。其次,一例 如氮化鈦疋薄附著層形成於ILD之上與進入通道孔洞之中 其次,於附著層之上覆蓋沈積—共形的鎢膜並沈積入通 道。此沈積繼續進行直到通道孔洞完全被鎢所填滿。其次 ,利用化學機械磨光法將形成於ILD表面頂部之金屬膜移 去而於該處形成一金屬通道或插頭。諸如此方法爲較佳的 原因是因其能填滿於其下形成級密填充主動裝置進行電性 互連時所要求之高景觀比通道。 〜鶴插頭方法與大氣BPSGILD層之問題爲它們彼此間不相 谷。此問題爲鎢磨光法對大氣B.PSG ILD層而言不具備足 夠的選擇率所致。於該狀況下,若拋平鎢層蛉,一 ILD層 大部份的量可由鹤首先被清除的區域移去。若在鶴插頭處 、理期間有^的ILD損失掉’則主動裝s與第_金屬化 (請先閱讀背命之注意事項再填寫本頁) -裝· ir-—ϊ-. -線—— -5- (2丨0X297公釐厂 經濟部中央標準局員工消費合作社印製 304297 A7 ------ B7 五、發明説明(3 ) 又間或金屬化層之間會導致短路β因此,目前處理大氣 BPSG層與化學機械鎢插頭之兩種方法爲不可依賴且不適 合於製造用。 於此狀況下’吾人所希望之互連計畫爲可同時使用大氣 BPSG層與鎢插頭方法。 發明概述 本發明所描述者爲於一積體電路中形成一新穎的互連結 構之方法。根據本發明,沈積一利用大氣CVD法所形成而 磷之第—氧化層於主_導體基材上。此第一氧化層" 随後施以化學機械磨光法(CMP)以形成一平面化的表面。 其次’沈積—利用電漿增強CVD法所形成之第二未摻雜各 茗n—氧化層上。隨後蝕刻通過第一與第 二氧化層以得到一開孔。隨後沈積一包含嫣之導電層進入 開孔中與第一氧化層之上。最後由第二氧化層起將導電層 磨光以形成一大體上與第二氧化層爲齊平之填滿開孔一7^一 附圖簡單説明 圖1顯示者爲先前技藝互連結構之橫截面視囷。 圖2a顯示者爲其上形成許多裝置之半導體基材橫截面視 圖。 圖2b顯tf者爲在圖2&之基材上b成一第—中間層介電質 之半導體基材橫截面圖。 圖2c顯示者爲於圖2b之基材上之第一中間層介電質平面 化之橫截面視圖。 -6- 本紙汝尺及通用中國國家標準(CNS ) A#規格(21〇χ297公釐 ~ — 1! 1 -1 I i-1 —I— m — 11 ί: -- i— - ml I 1- '1OJ,! -- 1 1^1 - -I I 1 I (請先閲讀背面之注意事項再填寫本頁)
經濟部中央標準局員工消費合作社印製 圖2d顯示者爲於圖2(:之基材上 之橫截面圖。 -中間層介電賀 =2e顯示者爲於圖2(1之基材中形成開孔之橫截面視圖。 圖打顯示者爲於圖2e之基材上形成—诵 截面視圖。 /成㉟道%无材料之核 顯示者爲於圖2f之基材上通道填充材料之 形 成%滿接觸開孔的橫截面視圖。 視圖圖2,顯示者爲於圖2g之基材上形成—金屬化層之橫截面 t發明細説明 ' 本發明揭示出-種在積禮電路中製造一互連結構的新顆 万法。於以下之描述中,例如特定的方法步驟,材料,和 維度等等’許多的特定細節將被提出以提供對本發明一完 整之理解。,然而,對本技藝熟習者而言將至爲明顯者,本 發明可以不須這些特定細節而得以實施。於其他實施例中 ’已眾所熟知之積體電路製造步驟與設備未被詳細的提出 以不至於非必要性的使本發明難以理解。 本發明爲一種在積體電路中形成—互連結構的新穎方法 本發明之較佳具體實施例使得一大氣化學氣相沈積 B P S G層能以一種鎢插頭的方法使其被使用而其利用化學 機械磨光(CMP)法以提供出一可稜製造,高密度之互連結 構。 根據本發明之較佳具體實施例,提供出一半導體基材 2〇〇 »如金屬氧化物半導體(M〇s)電晶體裝置2〇2,其形成 本紙乐尺度適用中國國豕標準(CN*S ) A4規格(210X 297公着) ^— 鬱 ~ * · .. (請先閱讀背面之注意事項再填寫本頁) 訂—--*---;-線----------------- 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(5 ) 於半導體基材200之中。較佳者,矽化物是在源極,汲極 ,與MOS裝置之多重閘極處利用一種自我排列方法所形成 以改良接觸抵抗與裝置之性能。主動裝置起初是利用場氧 化區204彼此隔絕。雖然僅顯示出MOS電晶體,基材200可 包含其它完成或部份完成之主動及被動裝置,例如雙極性 電晶體,薄膜電晶體,電容器,及電阻器等,但並未受限 於上述之裝置。除此之外,雖然半導體基材200較佳者爲 一矽單晶基材,基材200可包含其他的半導體材料,例如 ,但未受限於該等材料者有,砷化鎵與砷化鍺並可包含如 磊晶矽層之其他沈積半導體材料。 根據本發明之第一步驟,如顯示圖2b者,爲在基材200 與裝置202上形成一中間層介電質(ILD) 206。ILD 206之目 的爲將裝置202與後續所形成而使用於互連之裝置進入一 功能性電路金屬化層以作電性隔離。ILD 206較佳者爲利 用大氣化學氣相沈積(CVD)法所形成之硼磷矽酸鹽玻璃 (BPSG)。一大氣BPSG層所以較佳之原因爲其可對底層地 形而言以共形之方式形成因而能填滿相鄰裝置202之間的 小間隙並於該處内不產生空孔。ILD 206較佳者是於一 WJ999 TEOS機器中利用大氣CVD使用一四乙基正矽酸鹽 (TEOS),氧氣(02), 匕& (ΡΗ3)與三棚(Β2ίί6)化學方法 以製造一含有大約3%的硼與6.3%磷濃度之二氧化矽(Si02) 膜來形成。而其形成一大約18000埃厚之氧化物層。 其次,ILD 206較佳者是利用快速高熱方法(RTP)而緻密 化。緻密化ILD 206目標之一爲加速摻雜物之併入氧化層 _-8-_ 本纸浪尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) ^ - -I - - I——1 —i! I - - - - 1 - -- ! - - I ί - ----- —.1 I II . : _ t -^ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(6 ) 以使其穩定化。利用一 RTP方法,汽流過程可被消除《使 用汽流處理爲吾人所不希者因其於BPSG/矽化物介面間成 長出一薄的氧化物而該氧化物於成長期間耗去大部份之矽 化物。以一 N2包圍氣體使用之RTP方法來緻密化時,於 BPSG/矽化物界面處無氧化物之成長,其使得形成於源極 汲極區之矽化物厚度剝落能伴隨源極汲極接合深度之剝落 。使用RTP於緻密化改良了熱預算(thermal budget),並且 依序的,改良了電晶體於汽爐玻璃流動周期之性能。除此 之外,RTP方法較佳者爲於一小875°的溫度時實施以改善 矽化物之凝聚。也就是説矽.化203不能容忍較高的緻密化 溫度。 如於圖2b中所顯示者,ILD 206之頂部表面208爲非平面 化因其由裝置202與隔絕區204所產生之下層地形所致。非 平坦之地形乃因BPSG層206之共形沈積特性所致。除此之 外,非平坦之地形亦因汽流處理最好是不要使用於本發明 中之事實所致。汽流步驟一般而言乃協助BPSG層地形之 平滑化或平面化。因爲ILD 206之頂部表面208爲非平面化 者,其平面化如顯示於圖2c中。ILD 206較佳者是利用化 學機械磨光法來平面化因其能產生整體之平面化而與僅有 局部平面化並結合再流動和蚀平處理者相反? ILD 206較 佳者爲於裝置202上由18000埃磨‘光至大約4500埃土 1500埃 以形成一平坦表面的210。利用起初時形成亦常厚的ILD 206,則非常大量的邊緣被提供於化學機械磨光處理。 根據本發明較佳具體實施例,ILD 206之化學機械磨光 -9- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -- II - - -I 1 I - - - - -1- - I n I - n I -.1 - —1—ii ΐ «I . , - I - -I I! I < 1 --1-' (請先閲讀背面之注意事項再填寫本頁) A7 A7 經濟部中央標準局員工消費合作社印製 __ 五、發明説明(7 ) 是使用一西方科技(Westech) 37214型磨光機及使用一包含 氧化矽於氫氧化钟與水(KOH+H2〇)之溶液所组成的衆件 (slurry)f »然而町被理解者爲,可使用任何熟知的CMP方 法對ILD 206進行早面化。 ILD 206整體之·平面化爲較佳者是因其形成一非常平坦 的ILD表面210其使得金屬線能收縮,亦能增加於處理過程 中可能被使用之金屬層的數目。爲吾人所理解者爲其他互 連層於另一層之上部的堆#產生一更不平坦的地形。因爲 ILD 206之平面化’例如較差的分解接觸/通道開孔,和/或 金屬線,較差的金屬階梯覆蓋度’電子遷移’與金屬尖銳 物於本發明中將被減少。除此之外’使用化學機械平面化 法能達成整體的肀面化該平面化建構出一系列的正反射, 例如使得能後續使用非常平面化的鶴插頭磨光技術於接觸 /通道填充其依序的使得金屬1的維度能更均勻且後續的 ILD厚度能被最小化。 其次,根據本發明’ 一第二ILD層212沈積於ILD層206之 上如顯示於囷2d。ILD 212是由與ILD 206不同的介電質材 料所形成。其亦將於以下作更詳細之敘述,ILD 212爲一 種材料其於插頭形成之後續磨光步驟期間比ILD 206之磨 γ 光更慢(8x)。ILD 212與ILD 206—併形成一複合ILD 214而 其被使用於隔離後續之電性連接。.於此狀況下,複合ILD 214之最後總厚度必須足以電性隔離由裝置202後續所形成 的金屬化層。因爲比0212被形成薄層,其大約爲2〇〇〇埃 ,和形成於ILD 206平面化表面210之上,複合介電-質214 -10- ___ ___ 本紙張尺度適用中國國家標準(CNS ) A4说格(210X297公釐) ~~ J---_---_---^--裝------訂-----^ 線 -^ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局負工消費合作社印製 -11 - 304297 A7 B7 五、發明説明(8 ) 不須施以其他的平面化即具有一非常平坦的頂部表面215 〇 於本發明較佳具體實施例中,其中ILD 206包括一由大 乳CVD沈積而成之BPSG層,ILD 212較佳者爲利用電衆增 強化學氣相沈積(PECVD)法於一 AMAT 5000沈積機使用 TEOS與〇2氣體源所形成之未掺雜氧化層。可被理解者爲 電漿增強未摻雜TEOS氧化層不能形成足夠之共形以填滿 南景觀比之間隙與現代化的高密度積體電路。然而, PECVD未捧雜氧化層214,其可均勻的形成並具足夠之相 容性以g供一平面化的封端層212給使用於相鄰裝置2〇2之 間填滿高景觀比間隙之平面化ILD層2〇6。電漿増強cvD方 法之一有價値的特性爲它們可形成緻密的介電質層。緻密 的氧化層傾向於相較於不緻密的氧化層例如摻雜大氣沈積 CVD氧化層之下是以較低之速率磨光。 其次,如圖2e所顯示者,開孔216是通過ILD 2〇6與ild 212所形成。開孔216提供出通道或接觸開孔以容許通過 ILD 206與ILD 212與形成於其下之裝置2〇2作電性連接。例 如以氟氣烷基化學於一 LAM研究45〇〇蝕刻機實施之活性離 子蝕刻(RIE)法之任何熟知的方法皆可使用於開孔之形 成。 因本發明之目標爲製造出高密度之積體電路,裝置 必須緊密的填充一起而使窄(大約〇 4微米寬)‘緊密間距接 觸/通道開孔216的形成成爲必須的。因爲複合ILD2U必須 具備足夠的厚度以隔離裝置202且因希望形成窄的開孔, 本紙張尺舰用帽__ (請先閱讀背面之:Ji意事項再填寫本頁) J 裝 訂---'·線 I^------------- A7 A7 經濟部中央標準局員工消費合作社印製 ------------ B7_____ 五、發明説明(9 ) 較佳者爲形成高景觀比(也就是説,深與窄的開孔)。目前 可獲得之填充諸如此高景觀比開孔之最佳方法乃使用插頭 技術。 其次’如顯示碑圖2ς)者,一接觸填充材料21 8覆蓋沈積 於未摻雜氧化層212之上並沈積入開孔216中。較佳之接觸 填充材料218爲鎢因其可形成非常好之共形並容許填充高 景觀比之開孔且於該處無空孔之產生。若使用鎢層做通道 之填充,則其較佳者爲在鎢沈積之前形成一附著層。附著 層協助鹤能附著於複合的ILD,其能降低接觸抵抗,並提 供一良好之歐姆接觸^ 根據本發明之較佳具體實施例,使用一薄的複合鈦/氮 化欽作爲附著層。首先,以熟知之方法濺鍍沈積一大約 200埃之鈇層於ILD 212並沿著開孔216之側牆與底部沈 積。其次’以熟知之方法於鈦層222之上濺鍍沈積一大約 600埃之鈦層224。其次’形成一鎢220之共形層其首先利 用CVD法並使用一以矽烷(SiH4)還原的六氫化嫣(Wf6)而形 成一鎢的啓始種晶層(大約3〇〇埃)接著利用CVD法並使用一 以氫氣(H2)還原之WF6e成整塊的鎢層(大約4500埃)。因 此’根據本發明較佳具體實施例,接觸填充材料2丨8較佳 者是由包括鈦,氮化鈦,和鎢所組成的三層金屬所構成。 可被吾人所理解者爲其他接觸填·充材料之材料組合可使用 於填充開孔216。 其次,如圖2g所顯示者,化學機械磨光接觸塡充材料 218以由ILD 212之頂部表面移去接觸塡充材料218而藉由 -12- 本紙乐尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---- ---- - 裝------訂 f — ---線 (請先閲讀背面之注意事項再填寫本頁) A7 304297 ---—-- 五、發明説明(1〇 ) 該方法形成—填滿之開孔或插頭226該開孔大體上而言與 IU) 214之頂部表面齊平。若接觸填充材料爲 則嫣層 較佳者是利用包含〇. 01到〇 3莫耳之却氰化亞鐵(KMC%) 與1 -25 /〇重量百分比之氧化梦的化學组合物而進行化學機 械磨光。一用水稀釋之鎢漿料的配方(9:1)可使用於磨光去 除氮化鈦附著層224。除此之外,較佳者爲使用一包含大 約0.5莫耳的氟化鉀與大約〇 5%重量百分率之氧化矽磨光 去除ILD 212上之鈦附著層222。以上所指定之漿料於本發 明中爲較佳者因其容許均勻與相容性的將鎢層22〇與附著 層222與224磨光且插頭226之形成大體上不會使ILD 212以 下之插頭226產生間隙或不會導致插頭226之"蚀出„。磨光 鎢,氮化鈇與鈦膜所使用之方法學與漿料之細節是使用以 上所指定之漿料其完整的描述於美國專利號碼5,34〇 37〇中 其轉讓给目前之讓受者,於此亦併爲參考。 本發明一個重要的方面爲其插頭磨光方法對ILD封端層 212具有選擇性。也就是説,對ild封端層212而言以一比 接觸填充材料218更慢之速率進行磨光是重要的。於此方 法中’通過基材3^^·在著不均勻性,那些首先清除接觸 材料而顯示出ILD 212之區域將基本上阻止磨光(或至少遲 緩磨光)然而其它具有接觸填充材料的區域仍存在時則將 繼續磨光。本發明之封端層2丨2袁許"過度磨光,•之進行以 確保跨越整個基件ΙΟ#接觸填充材料218能大體上被完全 的移去而不嚴重的將ILD 214局部區域抛去。以此方法中 ,插頭之磨光方法可信賴的使用而無須擔憂過度磨光時所 -13- 本紙張尺度適用中國國家標隼(CNS ) A4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) . . 1 -裝. 訂 經濟部中央標準局^工消費合作社印製 經濟部中央標隼局員工消費合作社印製 A7 ----------B7_ 五、發明説明(η ) 造成之過多的ILD損失。須注意者爲於基材200相同的區域 上其中间磨光速率所經歷或薄層的形成,於插頭形成期 間封端層212可完全的磨光去除。封端層212必須提供足夠 的選擇率而足以阻止磨光處理而保存下足夠之ILD以製造 具可信度之互連。於本發明較佳具體實施例中,鎢磨光處 理方法存在著大約32:1绔對PEQVPH韵之選擇率《使用 ILD封端層212使得本發明互連之方法能適合於製造與可信 度高兩者皆備。 所須注意者爲此處所提供之磨光速率與選擇率之計算是 利用覆寻沈積於一完整晶片上之薄膜磨光而爲之。於基材 部伤上之選擇率實際上會低很多乃因發生於插頭磨光期間 之"凹曲"(dishing)效應所致^,,凹曲"造成之原因爲緻密配 置的接觸間ILDs磨光的速率較不緻密配置接觸間ILDs磨光 速率快許多的事實所導致。於此情況下,"凹曲,,導致於具 有高密度接觸面積中磨光選擇率大體上的降低。因此,必 須謹愼處理以確保封端層212能提供足夠之選擇率以因應 任何的"凹曲"效應。 /於本發明較佳具體實施例中,ILD封端層212爲一利用電 漿增強CVD法所形成之未摻雜氧化層。電漿増強cVD法能 產生緻密之氧化層《然而大氣CVD法產生之氧化層大趙上 較不緻密。除此之外,摻雜之氧:f匕層傾向於較未摻雜之氧 化層較不緻达'化’緻达、之乳化層一般而言與較不緻密氧化 層比較是以較低之磨光速率。於本發明較佳具體實施例中 ’未摻雜之PECVD乳化物封端層212於嫣插頭磨光期間比 -14 - 本紙張尺度適用中國國家標隼(CNS ) A4規格(2丨0X 297公釐) —- I ——^1 - - -· 1 H I - . - - -I - I ·! ^ I n.«i I . nn . . {請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(12 ) 使用於整體複合ILD 214之摻雜大氣CVD氧化層206以八倍 慢的速率磨光。 丨 因此,藉配置未摻雜之PECVD氧化物封端層212於摻雜 之大氣CVD氧化層206上,則鎢插頭磨光法比僅使用摻雜 ^之大氣CVD氧化層對複合ILD 214而言更具選擇性。爲吾 人所理解者爲,雖然大氣BPSG層與鎢磨光法不相容,它 們被要求填滿由高密度配置裝置202所產生之小景觀比間 隙。除此之外由大氣CVD所形成之BPSG層比PECVD氧化 物更具經濟性因爲它們只需要便宜的機械且它們可較迅速 的形成(也就是説,它們提供出較好之晶片通過料量)。使 用一薄的未摻雜PECVD氧化物封端層212使得一具有低密 度,具有鎢插頭方法之高景觀比填充大氣CVD BPSG層可 被使用。 可被吾人所理解者爲大氣CVD BPSG層與鎢插頭方法兩 者皆被要求製造出符合現代化之超高密度積體電路。大氣 BPSG層使用於填充高密度配置裝置202所產生之高景觀比 間隙並使用鎢插頭填充高景觀比之接觸開孔其對緻密化配 置裝置202作接觸時爲必需者。 其次,如顯示於圖2h者,金屬互連形成於ILD封端層212 之上並且以插頭226形成於一電性接觸之上。互連230可用 任何熟知之方法來形成,例如以覆.蓋沈積一链層(且若需 要時可用附著層)於ILD 212和插頭226之上且隨後利用熟習 之光學石版印刷技術蝕刻鋁層而進入個別之金屬線230。 至此點時,本發明之互連結構已完成。 - _-15-_ 本紙張尺度逋用中國國家標準(CNS ) A4規格(210X297公釐) ---------裝------訂---PL---、 線 - «-» (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 五、發明説明(13 如隨時於圖2h中所顯明的,已製造出一非常平面化高密 度足互連結構β因插頭大體上與比〇 齊平,而一平 面化足互連層230已形成。其可被理解者爲若需要時本發 明_可使用於形成其它之互連和通道/接觸層。因本發明互 連·十畫中之非常平坦的特性和幾乎無限制可製造出的金屬 層數♦許形成於一半導體基材内大量分散裝置之互連如 ULSI電路所要求者可行。 除此^外,雖本發明已參考較佳具體實施例而描述出, 其中PECVD氧化物封端層形成於一大氧CVD BpsG層上 其乃爲,了增加鎢插頭方法對几D的選擇率,吾人所期望者 爲本發明之概念亦可應用至其他之半導想製程。也就是説 ,本發明一般而言可應用至使用化學機械磨光(CMp)法拋 平第一種材料以填平於第二種材料中之開孔並且其中磨光 法(選擇率對第二種材料而言沒有足夠之選擇性可提供出 一有效率之方法的狀況下的任何製程中。於諸此案例中, 色色二Am端1增加磨光方逢^ jg擇率並提供—可 信賴之方法。例如,本發明可用於形成互連,例如互連 230 ’利用覆蓋沈積—銘層於—ILD之上並於該處具有一開 孔形成且随後將鋁層抛平以形成金屬互連。此外,本發明 可使用於増加用在填充緣溝以作爲隔離或形成電容器之 CMP法的選擇率。 · 於發明書先前之中,本發明已參考特定之範例性較佳具 體實例來說明。然而’ ?同之修正與改變得以爲之而不偏 離本發明之界限精神(b〇arder spidt)與範圍是顯明的。本 , ;---------裝------訂--------線 (請先閲讀背面之注意事項再填寫本頁)
A7 B7 五、發明説明(Μ 發明並不期望限制於特定之維度,材料’ 之聚料。本發明書與附圖因此可視爲—圖説明性二;= 制之含意。 因此,一種以鎢插頭化學機械磨光法使一BPSG層得以 使用之方法與結構被描述出。 ------------裝------訂 J--[--~:線 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印裝 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨〇><297公釐)

Claims (1)

  1. 7 9 2 4 ο 3 ABCD 經濟部中央輮隼局員工消费合作社印装 六、申請專利範圍 ---- 1·—種製造互連結構之方法,其包括以下步驟: 形成第一絕緣層; 使該第一絕緣層平面化; 於該第一平面化絕緣層之上方形成第二絕緣層; 於該第一與第二絕緣層内形成開孔; 沈積導電性材料於該開孔中及在該第二絕緣層上·及 U從孩第二絕緣層磨光該導電材料,以形成導電材料所 填滿之開孔,其係與該第二絕緣層實質上齊平,其中詨 磨光步驟係使用一種磨光方法,此方法磨光該第—絕緣 層係較該第二絕緣層迅速,。 2. 根據+請專利範圍第!項之方法,其中該第二絕緣層包 括電漿增強CVD層。 3. 根據申請專利範圍第!項之方法,其中該第一絕緣層爲 藉大氣化學氣相沈積法利用TEOS氣體源所形成之BPSG 層。 4. 根據申請專利範圍第1項之方法,其中該第二絕緣層包 括未摻雜之氧化層,其係藉電漿增強化學氣相沈積法利 用TEOS氣體源所形成。 5. 根據申請專利範圍第1項之方法,其中該導電層包括鎢 〇 6. 根據申請專利範圍第1項之方¥,其中該第一絕緣層爲 大氣CVD氧化層,且該第二絕緣層爲電漿螬強CVD氧化 層。 7. —種在半導體基材上形成互連結構之方法,其包括以下 -18- 本紙张尺度適用中國國家標隼(CNS ) A4規格(210X297公釐〉 裝 訂--ΊΊΙ.---— 線 (請先閲讀背®之··X3E.-意事項再填寫本頁) ABCD 輕濟部中夬樣準局員工消費合作衽印製 '+請專利範圍 步驟: 於該基材上形成包含棚與鱗之第一氧化層; 使該第一氧化層平面化; 於孩平面化後之第一氧化層上方形成氧化層; 於該第一氧化層與該未摻雜氧化層中形成開孔; 於忒開孔内及在該未捧雜氧化層上方沈積包含鎢之導 電層;且 磨光該導電層’以自該未摻雜氧化層移除該導電層, 於是形成經填充之開孔,其係與該未摻雜之氧化層實質 上齊平。 „ 8’根據申請專利範圍第7項之方法,其中該第一氧化層是 藉大氣化學氣相沈積法利用TEOS氣體源所形成。 9,根據申請專利範圍第7項之方法,其中該未摻雜氧化層 疋藉電漿增強化學氣相沈積法利用TEOS氣體源所形成 〇 10·根據申請專利範圍第7項之方法,其進一步包括以下步 驟: 於該未摻雜氧化層之上方及該經填充開孔之上方形成 互連。 U.根據申請專利範圍第7項之方法,其中該磨光步驟係使 用一種漿料’其磨光該第一氧·化層係較該第二氧化層迅 速〇 泛根據申請專利範圍第!丨項之方法,其中該漿料包括鉀氰 化鐵(K3Fe(CN)6)及矽石。 -19- 本紙張尺度適用中國國家標隼(CNS ) M規格(210X297公釐) 裝 I 訂;一3 11 ~ J 纟 * ♦ (請先閎讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印裝 Α8 ^04297 I 六、申請專利範圍 13.根據申έ青專利範圍第7項之方法’其進一步包括以下步 驟: 在使該第一氧化層平面化之前’於Ν2環境中快速熱處 理以使該第一氧化層緻密化。 I4·根據申請專利範圍第7項之方法,其中該第一氧化層是 利用化學機械磨光進行平面化。 15.—種在半導體基材上所形成之裝置上形成接點之方法, 該方法包括以下步驟: 於該基材上與在該装置上方形成第一氧化層,該第一 氧化層包含硼與磷,且係藉大氣CVD法利用TEOS氣體源 所形成; 化學機械磨光該第一氧化層,以形成平面化第一氧化 層; 沈積封端氧化層於該平面化第一氧化層上,該封端氧 化層實質上爲未摻雜,且係藉電漿增強化學氣相沈積法 利用TEOS氣體源所形成; 蝕刻接觸開孔經過該封端層與該平面化第一氧化層至 該裝置; 覆蓋沈積包含鎢之導電填充材料於該封端層之上,並 進入該接觸開孔内;且 化學機械磨光該導電填充材·料,以將該導電填充材料 由該封端層移去以形成經充填之接點,其中該導電填充 材料之化學機械磨光係使第一氧化層之磨光較該封端氧 化層迅速。 -20- I I I I I . — —裝— I 訂 ΊΊ ΙΊ — 1^ 線 I . . 1 (請先閱讀背面之注意事項再填寫本I) -
TW085109890A 1995-09-29 1996-08-14 TW304297B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US53600795A 1995-09-29 1995-09-29

Publications (1)

Publication Number Publication Date
TW304297B true TW304297B (zh) 1997-05-01

Family

ID=24136721

Family Applications (1)

Application Number Title Priority Date Filing Date
TW085109890A TW304297B (zh) 1995-09-29 1996-08-14

Country Status (8)

Country Link
EP (1) EP1008175A4 (zh)
JP (1) JPH11512877A (zh)
KR (1) KR19990063743A (zh)
CN (1) CN1203697A (zh)
AU (1) AU7164596A (zh)
IL (1) IL123749A0 (zh)
TW (1) TW304297B (zh)
WO (1) WO1997012393A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6153935A (en) * 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US7316972B2 (en) 2002-08-30 2008-01-08 Matsushita Electric Industrial Co., Ltd. Contact hole formation method
US7521364B2 (en) * 2005-12-02 2009-04-21 Macronix Internation Co., Ltd. Surface topology improvement method for plug surface areas
US8067762B2 (en) 2006-11-16 2011-11-29 Macronix International Co., Ltd. Resistance random access memory structure for enhanced retention
DE102007063271B4 (de) * 2007-12-31 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines dielektrischen Zwischenschichtmaterials mit unterschiedlichen Abtragsraten während eines CMP-Prozesses

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01293632A (ja) * 1988-05-23 1989-11-27 Nec Corp 半導体装置
JPH0680638B2 (ja) * 1990-07-05 1994-10-12 株式会社東芝 半導体装置の製造方法
EP0469214A1 (en) * 1990-07-31 1992-02-05 International Business Machines Corporation Method of forming stacked conductive and/or resistive polysilicon lands in multilevel semiconductor chips and structures resulting therefrom
US5164340A (en) * 1991-06-24 1992-11-17 Sgs-Thomson Microelectronics, Inc Structure and method for contacts in cmos devices
KR940009599B1 (ko) * 1991-10-30 1994-10-15 삼성전자 주식회사 반도체 장치의 층간 절연막 형성방법
US5244837A (en) * 1993-03-19 1993-09-14 Micron Semiconductor, Inc. Semiconductor electrical interconnection methods
US5409858A (en) * 1993-08-06 1995-04-25 Micron Semiconductor, Inc. Method for optimizing thermal budgets in fabricating semiconductors
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing

Also Published As

Publication number Publication date
AU7164596A (en) 1997-04-17
KR19990063743A (ko) 1999-07-26
WO1997012393A1 (en) 1997-04-03
CN1203697A (zh) 1998-12-30
EP1008175A1 (en) 2000-06-14
EP1008175A4 (en) 2000-10-18
JPH11512877A (ja) 1999-11-02
IL123749A0 (en) 1998-10-30

Similar Documents

Publication Publication Date Title
CN104733378B (zh) 半导体结构及其制造方法
JP3712356B2 (ja) 成膜方法および半導体装置の製造方法
CN103633011B (zh) 集成电路结构的形成方法
US6423630B1 (en) Process for forming low K dielectric material between metal lines
US10784160B2 (en) Semiconductor device having voids and method of forming same
CN108735656A (zh) 具有多个接触插塞的装置及其制造方法
US20010004550A1 (en) Damascene-type interconnection structure and its production process
US20050224907A1 (en) Isolation structure with nitrogen-containing liner and methods of manufacture
TW410435B (en) The metal interconnection manufacture by using the chemical mechanical polishing process
CN110783271A (zh) 半导体结构的形成方法
US6495448B1 (en) Dual damascene process
KR100703968B1 (ko) 반도체 소자의 배선 형성 방법
JP2010199349A (ja) 半導体装置の製造方法
US20030008493A1 (en) Interconnect structure manufacturing
US7351653B2 (en) Method for damascene process
TW522444B (en) Method for forming a self-aligned copper capping diffusion barrier
TW304297B (zh)
CN110880475B (zh) 空气隙形成方法
JP2001284355A (ja) 半導体装置およびその製造方法
JP2009188101A (ja) 半導体装置及びその製造方法
JP5428151B2 (ja) 半導体装置の製造方法
US20050070058A1 (en) Ild stack with improved cmp results
CN1173395C (zh) 以覆盖层制造铜内连线的方法
KR20060058583A (ko) 도전성 구조물, 이의 제조 방법, 이를 포함하는 반도체장치 및 그 제조 방법
TW531841B (en) Fabrication method of inter metal dielectrics to avoid damaging the wafer