TW295772B - - Google Patents

Download PDF

Info

Publication number
TW295772B
TW295772B TW085106124A TW85106124A TW295772B TW 295772 B TW295772 B TW 295772B TW 085106124 A TW085106124 A TW 085106124A TW 85106124 A TW85106124 A TW 85106124A TW 295772 B TW295772 B TW 295772B
Authority
TW
Taiwan
Prior art keywords
substrate
plasma
vacuum container
gas
protector
Prior art date
Application number
TW085106124A
Other languages
English (en)
Original Assignee
Nidden Aneruba Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nidden Aneruba Kk filed Critical Nidden Aneruba Kk
Application granted granted Critical
Publication of TW295772B publication Critical patent/TW295772B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Description

經濟部中央標準局員工消費合作社印製 A7 ____B7 五、發明説明(i ) 發明背景: 1 、發明領域: 本發明係關於一種改善的電漿清潔方法,用以移除真 空處理系統中的真空容器中之組件的表面及真空容器的內 面上所沈積的薄膜,此真空處理系統例如使用於半導體裝 置製造方法中的電漿增強化學蒸鍍(PECVD)系統。 2、相關技藝之敘述: 圖5爲一圖形指出電漿增強化學蒸鍍系統的造形,作 爲習知真空處理系統的一個例子〃 圖5所示之電漿增強化學蒸鍍系統主要是由真空容器 1 、氣體導入機構2、電源機構3及基體台4所構成,真 空容器1設有一排氣通道1 1 ,氣體導入機構2用於將預 定氣體導入真空容器1中,電源機構3用於激勵導入的氣 體以形成電漿,而基體台4可用於放置一基體4 0,在基 體上可沈積一薄膜。 圖5中之系統將基體4 0載送進入真空容器1經過一 閘閥(未示),並將基體4 0放置在基體台4上。在經由 排氣通道1 1而將真空容器1中之空氣抽空之後,系統藉 由氣體導入機構2而導入預定的氣體。接著,系統藉由電 源機構3而施加高頻電磁波功率至真空容器1中之氣體以 形成'電漿。然後,系統藉由電漿所增強的蒸氣反應而沈積 預定薄膜於基體4 0之表面上。例如,如果單矽烷氣及氧 氣藉由氣體導入機構2而被導入,則電漿引起分解反應, 本紙張尺度用中賴家料(CNS ) A4驗(210X297公A )~~ _ 4 - (請先閲讀背面之注意事項再填寫本頁) 訂 A7 ___B7 五、發明説明(2 ) 且氧化矽薄膜沈積於基體40之表面上》 當在電漿增強化學蒸鍍系統中重複薄膜沈積時,發生 一現象其中氧化矽薄膜亦沈積在暴露於電漿的基體台4之 表面及真空容器1之內面上。因爲薄膜係沈積於它們之上 ,由於薄膜的內應力而將會很快地剝落,導致發生細微的 粒子。如果細微粒子附著至基體4 0上的氧化矽薄膜,會 導致發生表面污染,使氧化矽薄膜之物品價值變差。 此一問題不僅發生於電漿增強化學蒸鍍系統,亦發生 於例如電漿蝕刻系統之真空處理系統中。亦即,蝕刻後材 料被沈積於真空容器的內壁及基體台的表面上,沈積一將 會剝落之薄膜,導致發生細微粒子,危害基體上的電路》 欲抑制沈積後薄膜的剝落,通常使用一電漿清潔技術 ,以在剝落之前先蝕刻及移除不要的沈積膜。在此技術中 ,全氟化碳14氣體(CF4):氧氣=80:20之混 合氣體,藉由氣體導入機構2被導入真空容器1 ,產生氧 經濟部中央標準局員工消費合作社印製 4 装-- (請先閱讀背面之注意事項再填寫本頁) 氣及全氟化碳1 4氣體之電漿,且藉由電漿之作用而蝕刻 並移除不要的沈積膜。亦即,放射性CFx (x = l ,2 或3) 、CFx離子(x=l,2或3)、放射性氟、或 氟離子產生於電漿中,且氟基團或離子與不要的沈積膜反 應以產生揮發性材料,然後經由排氣通道1 1而排放出來 ,藉以移除薄膜》 在執行電漿清潔的真空處理系統中,假設形成電漿主 要是爲了基體處理而採用這些構件。於是,如果基體台係 做成暴露於電漿太多,則將導致對於基體之損害。所以, ^紙張尺度適用中國國家樣準(匚阳)八4規格(210.;< 297公釐) -5 - 295772 at ______B7 五、發明説明(3 ) 基體台是位在一位置遠離電漿形成處。在此情形中,當執 行電漿清潔時,無法避免在基體台的表面附近之電漿密度 的降低。結果’欲移除基體台之表面上的沈積膜,必須執 行長時間的電漿清潔》 特別是’在例如電漿增強化學蒸鍍系統之薄膜沈積系 統中,於處理期間,薄膜並沒有沈積在覆蓋有基體之區域 上,其在下文中將稱爲"放置區域〃,在基體台的表面上 ’而是沈積在未覆蓋的表面區域上,其在下文中將稱爲* 非放置區域"。近年來,已嚐試增強膜沈積速率,這些嚐 試不僅導致基體上的高速率膜沈積,亦導致基體台之非放 置區域上的厚膜之沈積。 由於所得到的低密度電漿只暴露於其上沈積有厚膜的 基體台之非放置區域,所以會發生降低系統之可用性及延 長電漿清潔之嚴重問題。 在例如基體台以外的真空容器之內面處的電漿清潔中 ’蝕刻速率無法有效地增加,且電漿清潔時間周期無法縮 短。 經濟部中央橾準局負工消費合作社印製 --------- 取—— (請先閱讀背面之注意事項再填寫本頁) 發明節要: 所以本發明之目的在於可短時間完成電漿清潔,以移 除在真空容器中的基體台之表面上所沈積的薄膜,而改善 系統/之可用性。 欲達成上述目的,本發明之一個觀點提供一種電漿清 潔方法’包含以下步驟:放置一板狀放置區域保護器於基 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) ' 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(4 ) 體放置的區域上以覆蓋此區域,此放置區域保護器是由介 電材料製成,該介電材料具有表面尺寸及形狀配合基體台 的表面中之基體放置的區域或欲處理之表面;藉由氣體導 入機構而將蝕刻氣體導入真空容器;從一高頻電磁波電源 施加預定高頻電磁波功率至基體台,以形成電漿在基體台 之表面附近:藉由使用由電漿增強的氣體之蝕刻反應,而 移除沈稹在真空容器的內面及基體台的表面上之膜。 本發明之另一個觀點提供一板狀放置區域保護器,使 用於包含以下步驟的電漿清潔方法:放置板狀放置區域保 護器於真空容器中的基體台之表面中基體放置的區域以覆 蓋此區域;將蝕刻氣體導入真空容器;施加預定高頻電磁 波功率至基體台以形成電漿於基體台的表面附近;及藉由 使用由電漿增強的氣體之蝕刻反應,而移除沈積在真空容 器的內面及基體台的表面上之膜,其中放置區域保護器是 介電材料製成,此介電材料具有表面尺寸及形狀配合基體 放置之區域或欲處理的基體之表面》 較佳實施例之詳細敘述: 現在參照附圚,指出本發明之較佳實施例。 圖1爲真空處理系統之圖形,其中執行依據本發明之 實施例的電漿清潔方法,指出電漿增強化學蒸鍍之造形作 爲一,個例子。 如同圖5所示的系統,圖1所示之電漿增強化學蒸鍍 系統包含:設有排氣通道1 1之真空容器1 ;用於將預定 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---------:取-- (請先閱讀背面之注意事項再填寫本頁) 訂 295772 A7 經濟部中央標準局員工消費合作社印製 B7 五、發明説明(5 ) 1 氣體 導入真空容 器1 之 氣 體 導 入機構2 :用 於 激 勵 導 入 氣 1 1 I 體以 形成電漿之 電源 機 權 3 » 及一基體 台4 用 於 放 置 一 1 1 | 基體 ,其上可沈 積一 薄 膜 〇 此 系統進一 步包 含 —· 級 高 頻 電 1 I 請 1 I 磁波 電源4 1 , 用於 施 加 預 定 高頻電磁 波功 率 至 基 體 台 4 先 閱 1 I 讀 1 背 1 ιέ I 之 1 真空容器1 包含 — 膜 沈 積 室1 0 1 ,及 一 空 氣 抽 空 室 注 意 1 事 1 10 2具有一空 間位 於 膜 沈 稹 室1 0 1 下方 〇 界 定 空 氣 抽 項 再 1 填 空室 1 0 2之部 份與 界 定 膜 沈 積室1 0 1之 部 份 可 彼 此 分 寫 本 §* I 開, 以維持構件 於真 空 容 器 1 中。 1 1 1 膜沈積室1 0 1 之 部 份 中 的真空容 器1 之 容 器 壁 設 有 1 1 -閘 閥(未示) ,且 空 氣 抽 空 室1 0 2 之部 份 中 的 容 器 壁 1 1 設有 一排氣管1 3, 此 排 氣 管 連接至排 氣通 道 1 1 0 排 氣 訂 1 通道 1 1主要是 由粗 糙 真 空 泵 111' 位在 粗 糙 真 空 泵 1 1 11 1之前的級 之主 泵 1 1 2 、主閥1 13 及 位 在 排 氣 1 I 路徑 用於以泵1 11 及 1 1 2 來排氣之 可變 電 導 閥 1 1 4 1 所構 成。 1 真空容器1 在其 頂 端 具 有 一鐘罩1 2 ° 一 圓 形 開 □ 做 1 1 在真 空容器1之 上容 器 壁 的 中 央,且鐘 罩1 2 係 以 直 立 的 1 I 關係 連接至此開 鐘 罩 1 2 具有直徑 約2 0 0 m m 之 圓 1 1 頂形 狀,且係由 例如 矽 玻 璃 之 介電材料 所製 成 〇 1 | 在圖1所示 的例 子 中 9 氣 體導入機 構2 包 含 兩 個 氣 體 1 I 導人,通道2 1及 2 2 > 使 得 其 可以同時 導入 兩 種 不 同 的 氣 1 I 體。 氣體導入通 道2 1 ( 2 2 )主要是 由連 接 至 — 桶 ( 未 1 1 示) 的管路2 1 1 ( 2 2 1 ) 及連接至 管路 2 1 1 ( 1 1 本紙張尺度適用中國國家標隼(CNS ) A4規格(210X297公釐) -8 - A7 B7 經濟部中央標隼局員工消費合作社印製 五、發明説明(6 ) 1 2 2 1 ) 的氣體導入 本 體 2 12 ( 2 2 2 ) 所 構 成 〇 1 | 圖2 爲一圖形, 指 出 氣 體導 入 本體 2 1 2 2 2 2 之 1 | 結構 。如 圖2所示, 氣 體 導 入本 體 2 1 2 2 2 2 是 由 具 請 1 1 I 有圓 形剖 面之環形管 所 做 成 。它 是 由位 於 真 空 容 器 1 中 的 先 閱 1 I 支撐 桿2 3所支撐, 且 沿 著 真空 容 器1 之 內 面 而 水 平 地 放 背 ιέ 之 1 ί 置。 真空 容器1可以 是 圓 柱 形或 方 形管 狀 〇 注 意 事 1 1 此外 ,以一狀態 來 設 置 —输 送 管2 4 f 其 中 它 通 過 直 項 再 1 | 填 立的 真空 容器1之牆 壁 » 它 的一 端 連接 氣 體 導 入 本 體 寫 本 頁 | 2 1 2、 2 2 2,且 另 一 端 連接 至 圖1 及 2 中 的 管 路 1 I 2 1 1 ' 2 2 1» I 1 } 如圖 2所示,氣 體 導 入 本體 2 12 、 2 2 2 在 內 側 面 I 1 訂 上具 有氣 體流出孔2 5 0 氣 體流 出 孔2 5 爲 各 具 有 直 徑 約 1 0 . 5 m m之開口, 且 位 在 周圍 表 面上 間 隔 約 爲 1 0 m m 1 1 1 另一 方面,再度 參 照 圈 1, 電 源機 構 3 主 要 是 由 位 在 1 1 鐘罩 12 周圍的高頻 線 casr 圈 3 1、 及 -高 頻 電 磁 波 電 源 3 3 1 I 用於 經由 —匹配箱3 2 而 供 給高 頻 電磁 波 功 率 至 高 頻 線 圈 1 1 3 1 所構 成。例如, 採 用 — 裝置 以 產生 1 3 * 5 6 Μ Η Z 1 I 之高 頻電 磁波功率, 作 爲 高 頻電 磁 波電 源 3 3 » 高 頻 電 磁 1 1 波功 率從 高頻線圈3 1 被 供 給至 鐘 罩1 2 0 1 1 基體 台4係置於 真 空 容 器1 中 的鐘 罩 1 2 下 方 0 它 係 1 | 提供 來放 置欲處理之 基 體 4 0於 表 面上 且 主 要 是 由 級 主 1 I 體4 0 1 及夾介電塊 4 0 2 所構 成 ,級 主 體 4 0 1 是 由 金 1 1 | 靥做 成, 而夾介電塊 4 0 2 係位 於 級主 體 4 0 1 的 頂 端 上 1 1 本紙張尺度適用中國國家標隼(CNS ) A4規格(210X297公釐) -— _ B7 五、發明説明(7 ) 〇 基體台4採用一機構,用於藉由靜電夾住而將基體 4 0夾在其表面上,且一夾電極4 〇 3被嵌入於夾介電塊 4 〇 2中。提供一夾電源4 〇 4以給予預定電位至夾電極 4 0 3 ’使得靜電係產生在介電塊的表面上以夾住基體。 放置一遮蔽板4 0 5以覆蓋基體台4之側面,且一絕 緣塊4 0 6放置於遮蔽板4 0 5與基體台4之間。提供遮 蔽板4 0 5以避免電漿流至基體台4之側面且避免形成高 頻放電。它是由預定金屬製成且被接地。 如上所述,基體台4提供有級高頻電磁波電源41 , 用於施加預定高頻電磁波功率。此級高頻電磁波電源4 1 操作以藉由處理期間的高頻與電漿之間的相互作用而施加 預定基體偏壓至基體4 0,它操作而在電漿清潔時形成電 漿於基體台4的表面附近,如以下所敘述。 接著,將討論電漿增強化學蒸鍍系統之操作。 經濟部中央標準局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 首先,基體4 0經由位於真空容器1中的閘閥(未示 )而轉移進入真空容器1中,且被放置在基體台4上。閘 閥被關閉且操作排氣通道11以將真空容器1抽空成例如 約5微托(mTorr )。 接著,操作氣體導入機構2而以預定流率將預定氣體 導入真空容器1。在此時,氣體經由輸送管2 4而從管路 2 1,1與2 2 1被供給至氣體導入本體2 1 2與2 2 2, 且流出並經由氣體導入本體2 1 2與2 2 2之氣體流出孔 2 5而導入真空容器1中。導入氣體擴散於真空容器1中 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 10 - 經濟部中央標隼局貝工消費合作社印製 A7 ___B7_五、發明説明(8 ) 並到達鐘罩1 2的內側。 - 在此狀態,操作電源機構3而從高頻電磁波電源3 3 經由匹配箱32,施加約13 . 56MHz、2000W 高頻電磁波功率至高頻線圈3 1。同時,亦操作級高頻電 磁波電源4 1 ,以施加預定偏壓至基體4 0。此偏壓是由 電漿與級高頻電磁波電源41所給定的高頻之間的相互作 用所產生。 由電源機構3所供給的高頻電磁波功率經由高頻線圈 3 1被導入鐘罩1 2中,並激勵存在於鐘罩1 2中的氣體 以產生電漿。所產生的電漿從鐘罩1 2向下擴散至基體4 0。在電漿中,產生一預定產品,然後其到達基體4 0, 藉以使預定薄膜沈積。在此時,由級高頻電磁波電源4 1 所產生的偏壓使電漿中的離子加速並撞擊基體4 0。藉由 撞擊之能量而有效地執行膜沈積。 例如,對於沈積氧化矽薄膜之處理,單矽烷氣體經由 第一氣體導入通道2 1被導入,且氧氣經由第二氣體導入 通道2 2被導入。單矽烷被單矽烷/氧電漿所分解,且與 氧反應以沈積氧化矽薄膜。 在圖1所示的系統中,1 Oiacm-3或更大的高密度 電漿,可產生在膜沈稹室1 0 1中之壓力爲1 〇 〇微托或 更低的區域中,且可使用高密度電漿以高膜沈積速率而沈 積一.薄膜。 當重覆薄膜沈積處理時’一薄膜沈積在真空容器1的 內面及基體台4的非放置區域上。當重覆此處理許多次時 m —i I I · - - - - ..... -I I*又 1 I - i 1 ^^1 I— In (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0X 297公釐) -11 A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(9 ) ,判定需要移除薄膜並執行以下步驟。 首先,執行處理後的基體4 0 ,關閉閘閥(未示), 且操作排氣通道11以抽空真空容器1 ,然後放置區域保 護器4 0 0經由閘閥被轉移進入真空容器1 ,且放置於基 體台4上。亦即,如同基體4 0,放置放置區域保護器 4 0 0以覆蓋放置有基體4 0以外的放置區域》 接著,再度操作排氣通道1 1以將真空容器1抽空成 約0 . 1托。然後,操作氣體導入機構2而以400 SCCM 之流率導入全氟化碳1 4氣體,以1 0 0 SCCM之流率導入 氧氣進入真空容器1。欲導入全氟化碳1 4氣體,例如可 以提供全氟化碳1 4氣體之管路2 6 ,並連接至使用於薄 膜沈積的單矽烷氣體之管路2 2 1 ,或作爲電漿形成氣體 之氧氣的管路2 1 1 ,且它們的閥可選擇性地切換。 然後,控制位於排氣通道1 1中的可變電導閥1 1 4 ,以將真空容器1中的壓力保持在約2托。在此狀態中, 操作電源機構3與級高頻電磁波電源4 1 ,藉以使電漿形 成於真空容器1中。此與基體4 0中的處理不同之處在於 :補充電漿亦藉由級高頻電磁波電源4 1而形成於基體台 4的表面附近。 亦即,由級高頻電磁波電源4 1所施加之高頻電磁波 功率,經由放置區域保護器4 0 0及基體台4之夾介電塊 ,而..被導入基體台4的表面附近的空間中,並激勵存在於 空間中的氣體而成電漿。另一方面,類似於對基體4 0的 處理’電源機構3在遠離基體台4相當遠之位置產生高密 本紙張尺度適用中國國家標準(CNS ) A4規格(210\ 297公釐1 ~ -12 - -II -Ϊ I- I -- ·1 - I I I -I. I -- I -- --- - - II (請先閱讀背面之注意事項再填寫本頁) 經濟部中央樣準局員工消費合作杜印製 205772 a? ____B7___ 五、發明説明(1〇 ) 度電漿》 在此二處產生電漿,它有效地形成於真空容器1中的 寬空間中。結果,基體台4之非放置k域及真空容器1的 內壁上之不要的沈積膜,可被有效地蝕刻以縮短電漿清潔 所需之時間周期。 當氣體被導入,例如在由級高頻電磁波電源4 1所給 定的高頻電磁波功率爲100OW約13.56MHz , 功率除以放置區域保護器4 0 0的表面區域所得到的功率 密度約爲0 . 5W/cni,由電源機構3所給定的高頻電 磁波功率爲100W約13 . 56MHz ,則先前約需 6 0分鐘之電漿清潔可在約1 〇分鐘內完成。 接著,將討論實施例之電漿清潔方法中所使用的放置 區域保護器400。 如上所述,當執行電漿清潔時,放置區域保護器 4 0 0被放置在基體台4上取代基體4 0。然而,由於放 置區域保護器4 0 0被限制爲介電材料,將放置區域保護 器稱爲*虛擬基體〃並不適當。 放置區域保護器4 0 0被限定爲介電材料之原因可由 以上敘述看出’其爲有效地傳送由級高頻電磁波電源41 所給定的高頻電磁波功率,至基體台4的表面附近之空間 。如上所述’夾介電塊4 0 2置於基體台4的級主體 4 0.1之頂面上,於是即使放置區域保護器4 〇 〇沒有被 放置’基體台4之表面爲一介電材料。所以,高頻電磁波 功率可以被導入基體台4的表面附近之空胃中。 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) --- n - I · 1— I I - I ! -I —/良 -1 I m I X» ^-s (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(u) 然而,如果執行電漿清潔而沒有放置放置區域保護器 4 0 0 ,夾介電塊4 0 2之表面被密集地蝕刻,由於在放 置區域之表面上的基體4 0之處理期間沒有沈積薄膜,亦 即,在基體台4之表面中的基體4 0之處理中覆蓋有基體 4 0的區域,區域表面被直接暴露於蝕刻氣體,且當執行 電漿清潔時被密集地蝕刻。 如果蝕刻夾介電塊4 0 2的表面,蝕刻並沒有均勻地 發展,在表面上產生孔。結果,靜電夾作用變成不均勻。 在嚴格的情形中,夾電極4 0 3上的整個部份可蝕刻成暴 露夾電極4 0 3,使靜電夾住變成不可能。 於處理期間薄膜被沈積在基體台4的表面中之非放置 區域上,且於電漿清潔期間被蝕刻,於是在薄膜下方之基 體台4的表面沒有被蝕刻。如果在薄膜被完全移除之後繼 續清潔操作,此部份可以被蝕刻,但將被蝕刻少於放置區 域,即使它被蝕刻,原始地基體4 0沒有放置在此部份上 ,所以並不會發生很難靜電地夾住基體4 0之問題。 考慮實施例中的此點,放置區域保護器4 0 0被放置 在基體台4上取代基體4 0,以保護基體台4之放置區域 。最好,放置區域保護器係做成一形狀只完全覆蓋一部份 ,在此部份上於處理期間沒有沈積薄膜,而沒有覆蓋沈積 有薄膜之部份。如果沒有沈稹薄膜的部份沒有被覆蓋,則 此部..份被蝕刻,如果沈積有薄膜之部份被覆蓋,則從此部 份之薄膜關掉蝕刻氣體,此部份沒有被移除而留下來。 考慮實施例中的此點,放置區域保護器4 0 0是由介 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) ----------衣 |_ (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部中央棣準局員工消費合作社印製 A7 B7 五、發明説明(12) 電材料做成的板狀構件所製成,放置區域保護器4 0 〇之 表面的形狀及尺寸,匹配基體台4之表面的放置區域或欲 處理的基體4 0之表面。雖然放置區域之形狀與尺寸常常 是與基體4 0之表面相同,它們亦可以是彼此不同的,如 果例如存在用於轉移基體4 0之空間。 由以上敘述可看出,放置區域保護器4 0 0本身於電 漿清潔期間被蝕刻。所以,最好它是由可發射物質之材料 所製成,當處理基體4 0時將不會有外來物質,如果基體 4 0是矽基半導體所做成,則最好使用上述的矽玻璃。 此外,從系統及操作簡化的觀點來看,最好是以與轉 移基體4 0相同的輸送機構來轉移放置區域保護器4 0 0 進出真空容器1。在此情形中,如果放置區域保護器 4 0 0明顯地比基體4 0重,則經常會超過輸送機構的允 許度。從此觀點,最好放置區域保護器4 0 0最好是基體 40的10倍重或更輕。 欲引入放置區域保護器4 0 0,最好它是經由例如像 基體4 0之開口而被輸送。然而,如果放置區域保護器 4 0 0太厚,則它無法通過開口。在此情形中,則需要將 真空容器1之內側恢復成大氣壓及將放置區域保護器 4 0 0放置在基體台4上之麻煩操作。從此觀點來看,最 好放置區域保護器4 0 0是基體4 0的三倍厚或更薄。 ..由上述矽玻璃做成的放置區域保護器4 0 0的例子約 爲1 . 5mm厚,且具有表面尺寸及形狀等於6吋晶圓。 接著,將討論當執行實施例之電漿清潔方法時的壓力 本紙張尺度適用中國國家標隼(CNS ) Μ規格(210X 297公釐) ^ϋ. I ·· - - If n !-- - 1 Μ5"- -----I . I. I - - I T* ,-° (請先閱讀背面之注意事項再填寫本頁) 15 - A7 B7 經濟部中央標準局員工消资合作杜印製 五、發明説明(13 ) 〇 圖3爲一圖形,指出當執行實施例之電漿清潔方法時 ,測試壓力之實驗的結果,它是一個圖形指出真空容器1 之內面上的氧化矽膜之蝕刻速率與真空容器1中的壓力之 間的關係。在圖3中,垂直軸表示蝕刻速率,而水平軸表 示膜沈積室101中的壓力。 圖3之實驗條件爲全氟化碳1 4氣體流率4 0 0 SCCM ,氧氣流率1 0 0 SCCM,供給至鐘罩1 2之高頻電磁波功 率爲2 0 0 0W,且施加至基體4 0之高頻電磁波功率爲 0 . 5W/crri。調整可變電導閥1 1 4的開口,且調整 係渦輪分子泵之主泵1 1 2的旋轉數目,以抽空真空容器 1 ,藉以改變真空容器1中之壓力從0.1托至5托。 如圖3所示,在真空容器1中的壓力從0.1改變至 0 . 5托的範圍中,蝕刻速率約爲50nm/mi η,但 隨著壓力超過0 . 5托而增加,且當壓力約爲2托時,最 大值爲4 0 0 nm/m i η。在壓力進一步增加的範圍中 ,蝕刻速率輕微地減小。在壓力超過5托的範圍中,電漿 放電變成不穩定,有時候無法產生電漿。 於是,判定在蝕刻速率高的情形中,適於電漿清潔的 壓力區域爲0.5至5托。 亦可選定海利空(he 1 icon )波電漿形成系統,作爲 執行..電漿清潔方法的系統。圖4指出此一系統之造形。 對於海利空波電漿而言,當施加一強的磁場時,使用 一電磁波具有頻率低於電漿中傳導的電漿振動頻率而沒有 1^1 «^^1 «In —^1 i I II I- - I I ~M^. 1^1 I (請先閱讀背面之注意事項再填寫本頁) --° 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 經濟部中央標準局員工消費合作社印製 A7 ______B7_ 五、發明説明(14) 衰減,近年來已注意到使用海利空波電漿,作爲能夠在低 壓產生高密度電漿的技術。當電漿中之電磁波傳導方向與 磁場方向平行時,電磁波在一預定方向變成圓形地極化光 ,且螺旋線地前進,此稱爲海利空波電漿。 圖4中的海利空波電漿形成系統具有一迴路形狀的天 線34,放置成取代圖1中的高頻線圈3 1 。彎曲一圓棒 或皮帶狀構件以形成上與下2級迴路形狀而作爲天線。 一磁場形成機構3 5係位在鐘罩1 2附近。它具有雙 線圈結構,由內線圈3 5 a及外線圈3 5 b所構成,其置 於與鐘罩1 2同軸的位置。調整內線圈3 5 a與外線圈 3 5 b之線圈捲繞方向及電激勵方向,使得形成磁場係彼 此相對。由雙線圈結構做成的磁場形成機構3 5,具有可 容易地產生所要的磁場之優點。此外,它亦可以是由單一 線圈做成。 由磁場形成機構3 5所產生的磁場,可將鐘罩1 2中 所產生的電漿有效地輸送至膜沈積室1 0 1 ,使得可以加 速將電漿放入於膜沈積室1 0 1中的高密度。結果,可以 更有效地執行基體台4的表面及真空容器1的內面之電漿 清潔。 本發明之電漿清潔方法不僅可有效地適用於電漿增弓虽 化學蒸鍍系統,亦可用於其它的真空處理系統例如基體乾 蝕刻,系統。 雖然已討論使用全氟化碳1 4氣體(C F4)作爲蝕 刻氣體,很明顯藉著使用全氟化碳1 1 6氣體(C2Fe) 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) 1- I II -1 1 - —1-— _j -1 I -I I - I*R< I -- . . -- V**"* 3. 、v在 (請先閱讀背面之注意事項再填寫本頁) -17 - 經濟部中央標準局員工消費合作社印製 Λ7 B7五、發明説明(15) 、六氟化硫(s F β )、三氟化-氮(N F 3 )、氬氣與氧氣 的混合氣體等等亦可產生類似的效果。如果使用氬氣,因 爲電漿中所產生的氬離子之濺射率很高,可執行電漿清潔 而仍高效率.地執行濺射。 放置區域保護器4 0 0可以是由例如氧化鋁或藍寶石 以及氧化矽或矽玻璃的材料所做成。 如上所述,依據本發明,由於系統之可用性的改善, 所以可在短時間內,完成在真空容器中用以移除沈稹在基 體台的表面上之薄膜的電漿清潔。 圖形之簡要敘述: 在附圖中: 圖1爲一圖形,指出一真空處理系統,其中執行依據 本發明的一個實施例之電漿清潔方法; 圖2爲一圖形,指出圖1之系統中的氣體導入本體的 結構; 圖3爲一圚形,指出測試壓力之實驗的結果,當執行 實施例之電漿清潔方法時; 圖4爲一圖形,指出另一個系統之造形,其中執行實 施例之電漿清潔方法;及 圖5爲一圖形,指出電漿增強化學蒸鍍系統的造形作 爲習/知真空處理系統的一個例子。 ^^1 m ^^1 I i. I I - --!1 « 士^, n I - —-n -¾-a (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) 18 -

Claims (1)

  1. 々、申請專利範圍 1 _ 一種電漿清潔方法,包含以下步驟: 放置一板狀放置區域保護器於基體放置的區域上以覆 蓋此區域,此放置區域保護器是由介電材料製成,該介電 材料具有表面尺寸及形狀配合基體台的表面中之基體放置 的區域或欲處理之表面; 藉由氣體導入機構而將蝕刻氣體導入真空容器; 從一高頻電磁波電源施加預定高頻電磁波功率至基體 台,以形成電漿在基體台之表面附近:及 藉由使用由電漿增強的氣體之蝕刻反應,而移除沈積 在真空容器的內面及基體台的表面上之膜。 2 .如申請專利範圔第1項所述之電漿清潔方法,其 中導入蝕刻氣體的壓力之範圍爲0.5至5托。 經濟部中央標準局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本買) 3 . —種板狀放置區域保護器,使用於包含以下步驟 的電漿清潔方法:放置該板狀放置區域保護器於真空容器 中的基體台之表面中基體放置的區域以覆蓋此區域:將触 刻氣體導入真空容器;施加預定高頻電磁波功率至基體台 以形成電漿於基體台的表面附近;及藉由使用由電漿增強 的氣體之蝕刻反應,而移除沈積在真空容器的內面及基體 台的表面上之膜, 其中放置區域保護器是介電材料製成,此介電材料具 有表面尺寸及形狀配合基體放置之區域或欲處理的基體之 表面/ 4 .如申請專利範圍第3項所述之放置區域保護器, 其係由矽玻璃所製成。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -19 - Ο () 5772 Α8 Β8 C8 D8 、申請專利範圍 5. 如申請專利範圍第3項所述之放置區域保護器 其係由氧化矽所製成》 6. 如申請專利範圍第3項所述之放置區域保護器 其係爲欲處理的基體之十倍重或更輕。 7. 如申請專利範圍第3項所述之放置區域保護器 其係爲欲處理的基體之三倍厚或更薄。 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 表紙張尺度適用中國國家標车(CNS > A4規格(210X297公釐) -20 -
TW085106124A 1995-05-30 1996-05-23 TW295772B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7156977A JPH08330243A (ja) 1995-05-30 1995-05-30 プラズマクリーニング方法及びこの方法に使用される配置領域保護体

Publications (1)

Publication Number Publication Date
TW295772B true TW295772B (zh) 1997-01-11

Family

ID=15639476

Family Applications (1)

Application Number Title Priority Date Filing Date
TW085106124A TW295772B (zh) 1995-05-30 1996-05-23

Country Status (3)

Country Link
JP (1) JPH08330243A (zh)
KR (1) KR100262883B1 (zh)
TW (1) TW295772B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI790896B (zh) * 2021-08-27 2023-01-21 台灣積體電路製造股份有限公司 製程機台以及半導體元件的製造方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596123B1 (en) * 2000-01-28 2003-07-22 Applied Materials, Inc. Method and apparatus for cleaning a semiconductor wafer processing system
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
KR100799815B1 (ko) * 2002-12-18 2008-01-31 엘지노텔 주식회사 시스템간 버전 호환성 처리 방법
JP5870325B2 (ja) * 2006-02-14 2016-02-24 大学共同利用機関法人自然科学研究機構 水素貯蔵金属又は合金の初期活性化方法及び水素化方法
JPWO2010084909A1 (ja) * 2009-01-21 2012-07-19 キヤノンアネルバ株式会社 磁性膜加工チャンバのクリーニング方法、磁性素子の製造方法、および基板処理装置
JP6290177B2 (ja) * 2013-03-13 2018-03-07 株式会社日立国際電気 基板処理装置、基板処理装置のクリーニング方法及び半導体装置の製造方法並びにプログラム
KR101586237B1 (ko) * 2015-07-24 2016-01-19 주식회사 애니테이프 대기압 플라즈마를 이용한 압출성형재의 윤활유 제거 장치

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0555184A (ja) * 1991-08-27 1993-03-05 Fujitsu Ltd クリーニング方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI790896B (zh) * 2021-08-27 2023-01-21 台灣積體電路製造股份有限公司 製程機台以及半導體元件的製造方法

Also Published As

Publication number Publication date
JPH08330243A (ja) 1996-12-13
KR960042935A (ko) 1996-12-21
KR100262883B1 (ko) 2000-09-01

Similar Documents

Publication Publication Date Title
US6769439B2 (en) Plasma cleaning method and placement area protector used in the method
TW490497B (en) Method of processing substrate
JP4025636B2 (ja) 誘導結合プラズマ装置
EP1672093B1 (en) Film-forming apparatus and film-forming method
EP3007205B1 (en) Workpiece processing method
CN104882360B (zh) 等离子体处理装置的清洁方法
TWI697046B (zh) 蝕刻方法
US8662010B2 (en) Plasma processing apparatus, plasma processing method, plasma film deposition apparatus, and plasma film deposition method
WO2004066365A2 (en) Cleaning of cvd chambers using remote source with cxfyoz based chemistry
CN107210217B (zh) 对磁性层进行蚀刻的方法
TW295772B (zh)
JPH08330281A (ja) 真空処理装置及びその真空処理装置における真空容器内面堆積膜の除去方法
US11495469B2 (en) Method for processing substrates
KR20160149151A (ko) 플라즈마 처리 방법
TWI766907B (zh) 電漿處理裝置及電漿處理方法
US20210327719A1 (en) Method for processing workpiece
TW297987B (zh)
US6811831B1 (en) Method for depositing silicon nitride
JP3790410B2 (ja) パーティクル低減方法
JPH06226032A (ja) Nf3 系排ガス処理装置
JP2000294548A (ja) 誘電体窓を用いたマイクロ波プラズマ処理装置
JPH07153595A (ja) 有磁場誘導結合プラズマ処理装置
TWI797739B (zh) 蝕刻方法、電漿處理裝置及基板處理系統
JPH08330294A (ja) プラズマ処理装置
JPH0547713A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent