TW202407884A - 半導體結構及其製造方法 - Google Patents

半導體結構及其製造方法 Download PDF

Info

Publication number
TW202407884A
TW202407884A TW112103388A TW112103388A TW202407884A TW 202407884 A TW202407884 A TW 202407884A TW 112103388 A TW112103388 A TW 112103388A TW 112103388 A TW112103388 A TW 112103388A TW 202407884 A TW202407884 A TW 202407884A
Authority
TW
Taiwan
Prior art keywords
layer
source
substrate
fin
drain
Prior art date
Application number
TW112103388A
Other languages
English (en)
Inventor
張哲綸
李威養
林家彬
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202407884A publication Critical patent/TW202407884A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

本揭露提供一種多重閘極電晶體結構及其形成方法。根據本揭露的方法包括形成位於基板上且包含被犧牲層插入其間之通道層的鰭狀結構;掘入鰭狀結構的源極/汲極區域以形成源極/汲極凹槽;掘入犧牲層的側壁以形成內部間隔物凹槽;在基板及內部間隔物凹槽上沉積介電層;在介電層上沉積聚合物層;回蝕刻聚合物層及介電層,以在內部間隔物凹槽中形成內部間隔物特徵,並在基板的一部分上形成內部間隔物層;以及自通道層的側壁磊晶沉積多於一層的磊晶層,以在源極/汲極凹槽中形成源極/汲極特徵。源極/汲極特徵與內部間隔物層定義了一間隙。

Description

半導體結構及其製造方法
本揭露係有關於一種多重閘極電晶體及製造方法,且特別係有關於防止多重閘極電晶體之漏電的結構及製造方法。
半導體積體電路(integrated circuit, IC)工業已經歷了指數性的成長。技術在IC材料以及設計上的進步已經產生了好幾世代的IC,其中每一世代相較於先前世代都具有更小且更複雜的電路。在IC的進化過程中,功能密度(即:每單位晶片面積之互連裝置的數量)通常會增加,同時幾何尺寸(即:使用製造製程所能創建之最小組件(或線段))則會降低。這種微縮(scaling down)通常藉由增加生產效率以及減少相關成本的方式來提供益處。此種微縮亦增加了處理以及製造IC的複雜性。
舉例來說,隨著積體電路(IC)技術朝向更小的技術節點邁進,多重閘極(multi-gate)金屬氧化物半導體場效電晶體(多重閘極MOSFET或多重閘極裝置)已被導入,以藉由增加閘極通道耦合(gate-channel coupling)、降低截止狀態(off-state)電流及減少短通道效應(short-channel effect, SCE)來改善閘極控制。多重閘極裝置通常是指裝置所具有的閘極結構或其一部分,被設置在通道區域之多於一個的側面上。多橋通道(multi-bridge- channel, MBC)電晶體即為多重閘極裝置的範例,並且在高性能與低漏電應用方面已成為廣受歡迎且備受期待的候選者。MBC電晶體所具有的閘極可以延伸,並且部分地或完全地環繞通道區域,以在兩個或更多個的側面上提供對通道區域的存取。由於其閘極結構圍繞通道區域,因此MBC電晶體亦可被稱為環繞閘極電晶體(SGT)或是閘極全環(gate-all-around, GAA)電晶體。
MBC電晶體的通道區域,包括設置在自基板延伸之平臺(mesa)上方的垂直堆疊奈米結構。MBC電晶體的源極/汲極特徵被形成在相鄰於平臺的源極/汲極凹槽中。儘管MBC電晶體的閘極結構包裹環繞(wrap around)每個奈米結構,但它可能僅接合(engage)平臺的頂部表面。這種受限的接合對平臺提供了少量或是不存在的閘極控制。當允許源極/汲極特徵接觸平臺時,可能會產生漏電路徑。儘管現行的電晶體結構通常已足以滿足其預期目的,但它們並非在所有方面都是令人滿意的。
本揭露實施例提供一種半導體結構的製造方法。上述半導體結構的製造方法包括在基板上方形成鰭狀結構,鰭狀結構包括被複數犧牲層插入其間的複數通道層;掘入鰭狀結構的源極/汲極區域以形成源極/汲極凹槽,源極/汲極凹槽曝露基板的一部分、複數犧牲層的複數側壁以及複數通道層的複數側壁;選擇性地且部分地掘入複數犧牲層的複數側壁以形成複數內部間隔物凹槽;在基板以及複數內部間隔物凹槽上方順應性地沉積介電層;在介電層上方沉積聚合物層,使得聚合物層的頂部表面低於鰭狀結構的頂部表面;回蝕刻聚合物層以及介電層,以在複數內部間隔物凹槽中形成複數內部間隔物特徵,並且在基板的上述部分上方形成內部間隔物層;以及自複數通道層的複數側壁磊晶沉積多於一層的磊晶層,以在源極/汲極凹槽中形成源極/汲極特徵。源極/汲極特徵與內部間隔物層定義一間隙。
本揭露實施例提供一種半導體結構的製造方法。上述半導體結構的製造方法包括接收工作件,工作件包含基板以及位於基板上方的鰭狀結構,鰭狀結構包括基底鰭片以及基底鰭片上方的半導體堆疊,半導體堆疊包括被複數第二半導體層插入其間的複數第一半導體層;在鰭狀結構的通道區域上方形成虛擬閘極堆疊;在工作件上方沉積頂部間隔物層;在沉積頂部間隔物層之後,掘入工作件以於鰭狀結構的源極/汲極區域上方形成源極/汲極凹槽,其中源極/汲極凹槽延伸至基板內,並且曝露複數第一半導體層與複數第二半導體層的複數側壁;選擇性地且部分地掘入複數第二半導體層的複數側壁以形成複數內部間隔物凹槽;在基板以及複數內部間隔物凹槽上方順應性地沉積介電層;在介電層上方沉積聚合物層,使得聚合物層的頂部表面低於鰭狀結構的頂部表面;回蝕刻聚合物層以及介電層,以在複數內部間隔物凹槽中形成複數內部間隔物特徵,並在基板上方形成內部間隔物層;在回蝕刻之後,移除聚合物層;在複數第一半導體層的複數側壁上選擇性地沉積第一磊晶層;以及在第一磊晶層的複數表面上選擇性地沉積第二磊晶層。內部間隔物層包括設置於基板之面向頂部部分上的頂部部分,以及設置於基板之側壁上的側壁部分。底部部分的厚度大於側壁部分的厚度。
本揭露實施例提供一種半導體結構。上述半導體結構包括基板;自基板延伸的基底鰭片;設置於基底鰭片正上方之複數奈米結構的垂直堆疊;與複數奈米結構之垂直堆疊的複數末端表面接觸的源極/汲極特徵;以及底部介電層,底部介電層包含設置於基板上的底部部分以及設置於基底鰭片之側壁上的側壁部分。源極/汲極特徵的底部表面,以一間隙與底部部分間隔。
以下之揭露提供許多不同實施例或範例,用以實施本揭露之不同特徵。本揭露之各部件及排列方式,其特定範例敘述於下以簡化說明。理所當然的,這些範例並非用以限制本揭露。舉例來說,若敘述中有著第一特徵成形於第二特徵之上或上方,其可能包含第一特徵與第二特徵以直接接觸成形之實施例,亦可能包含有附加特徵形成於第一特徵與第二特徵之間,而使第一特徵與第二特徵間並非直接接觸之實施例。此外,本揭露可在多種範例中重複參考數字及/或字母。該重複之目的係為簡化及清晰易懂,且本身並不規定所討論之多種實施例及/或配置間之關係。
本揭露可能會使用空間相對術語,例如「在…下方」、「下方」、「低於」、「在…上方」、「高於」及類似詞彙,以便於敘述圖式中一個元件或特徵與其他元件或特徵間之關係。除了圖式所描繪之方位外,空間相對術語亦欲涵蓋使用中或操作中之裝置其不同方位。設備可能會被轉向不同方位(旋轉90度或其他方位),而此處所使用之空間相對術語則可相應地進行解讀。
進一步地,當使用「約」、「大約」等用語描述數字的範圍時,該用語的目的在於涵蓋合理範圍中的數字,其中該合理範圍考量了本技術領域具通常知識者所能理解之於製造期間固有地產生的變化。舉例來說,基於與製造一特徵有關的已知製造公差且該特徵具有與一數字相關的特性,數字的數值或範圍涵蓋包含所述數字的合理範圍,例如所述數字的+/-10%內。舉例來說,一材料層所具有「約5奈米(nm)」的厚度,可涵蓋的尺寸範圍為自4.25nm至5.75nm,其中為本技術領域具通常知識者已知之與沉積該材料層有關的製造公差為+/-15%。再進一步來說,本揭露在各種範例中可重複參考數字及/或字母。這種重複的目的在於簡化及明確,其本身並不規定所討論的各種實施例及/或配置之間的關係。
本揭露系有關於多重閘極電晶體及製造方法,且特別係有關於防止多重閘極電晶體的漏電(leakage)。如上所述,MBC電晶體乃是一種多重閘極電晶體,其中奈米結構的垂直堆疊懸掛(suspend)於兩個源極/汲極特徵之間,且閘極結構包裹環繞垂直堆疊的每個奈米結構。奈米結構可以具有不同的形狀,並且可以包括奈米線、奈米棒、奈米片或是其他類型的奈米結構。奈米結構的垂直堆疊被設置在平臺或是基底鰭片上方,其中平臺或是基底鰭片圖案化自半導體基板。為了形成耦接(couple)至奈米結構的源極/汲極特徵,源極/汲極溝槽與平臺相鄰。儘管閘極結構包裹環繞每個奈米結構,但閘極結構僅接合平臺的頂部表面。因此,閘極結構僅對平臺施加受限的控制。當源極/汲極特徵與平臺接觸時,會在源極/汲極特徵與體(bulk)基板之間產生漏電路徑。此外,源極/汲極特徵的配置可能會影響MBC電晶體的性能。舉例來說,當源極/汲極特徵的體積較大時,源極/汲極電阻得以降低。當第二源極/汲極特徵的體積較小時,源極閘極電容得以降低。需要一種方法及結構,用以防止經由平臺的漏電,同時保持電阻電容(RC)延遲受到控制。
本揭露提供一種方法以及一種結構,藉由最小化源極/汲極特徵與下方的基板和與其連接的平臺之間的接觸,以降低MBC電晶體的漏電流。根據本揭露的方法包括在基板上方形成鰭狀結構。鰭狀結構包括被犧牲層插入其間(interleave)的通道層。此方法進一步包括掘入(recess)鰭狀結構以形成源極/汲極凹槽、掘入犧牲層的側壁以形成內部間隔物凹槽、在基板與內部間隔物凹槽上方沉積介電層、在介電層上方沉積聚合物層(polymer layer)、回蝕刻(etch back)聚合物層與介電層以在內部間隔物凹槽中形成內部間隔物特徵並在基板的一部分上方形成內部間隔物層、以及自複數通道層磊晶沉積多於一個的磊晶層以在源極/汲極凹槽中形成源極/汲極特徵。因為聚合物層保護了介電層的下方部分,因此回蝕刻形成了覆蓋基板的內部間隔物層。因為磊晶生長對半導體表面具有選擇性,因此源極/汲極特徵與內部間隔物層垂直地間隔開來。源極/汲極特徵可被形成得較大以降低接觸電阻,或是形成得較小以降低寄生電容。較大的源極/汲極特徵可能伴隨著較小的間隙,而較小的源極/汲極特徵則可能伴隨著較大的間隙。
本揭露的多種態樣現在將參照圖式進行更加詳細的描述。就這方面而言,第1圖係根據本揭露實施例的流程圖,顯示了自工作件形成半導體結構的方法100。方法100僅為範例,且並非旨於將本揭露的內容限制在方法100中所明確說明的內容之中。附加的操作可被提供於方法100之前、之中或是之後,並且對於方法的附加實施例,所述的一些操作可被取代、消除或是移動。出於使說明簡化的原因,並非所有的操作都在本文中進行詳細的描述。下文結合第2圖至第22圖對方法100進行描述,其中第2圖至第22圖係根據第1圖之方法100的實施例所示,處於不同製造階段之工作件200的局部截面圖或俯視圖。因為工作件200將被製造為半導體結構或半導體裝置,因此工作件200在本文中可以根據前後文的需求而被稱為半導體結構200或是半導體裝置200。為了免生疑竇,第2圖至第22圖中的X、Y與Z方向彼此垂直,並且以一致的方式使用。縱觀本揭露,除非另有明確說明,否則相似的參考符號表示相似的特徵。
參照第1圖及第2圖,方法100包括方塊102,在方塊102中,交替之半導體層的堆疊204被形成在工作件200上。如第2圖所示,工作件200包括基板202。在一些實施例中,基板202可為半導體基板,例如矽(Si)基板。基板202可包括各種摻雜配置,這取決於本技術領域已知的設計要求。在半導體裝置為p型的實施例中,可在基板202上形成n型的摻雜輪廓(即:n型井或n井)。在一些實施例中,用於形成n型井的n型摻雜物可包括磷(P)或砷(As)。在半導體裝置為n型的實施例中,可在基板202上形成p型的摻雜輪廓(即:p型井或p井)。在一些實施方式中,用於形成p型井的p型摻雜物可包括硼(B)或鎵(Ga)。合適的摻雜可包括摻雜物的離子佈植(ion implantation)及/或擴散製程。基板202亦可包括其他半導體,例如鍺(Ge)、碳化矽(SiC)、矽鍺(SiGe)、鍺錫(GeSn)或是鑽石。替代性地,基板202可包括化合物半導體及/或合金半導體。進一步地,基板202能夠可選地包括磊晶層(epi層)、可被應變(strain)以增強性能、可包括絕緣層上矽(silicon-on-insulator, SOI)或絕緣層上鍺(GeOI)結構、及/或可以具有其他合適的增強特徵。
在一些實施例中,堆疊204包括第一半導體成分的犧牲層206,其中犧牲層206被第二半導體成分的通道層208插入其間。也可以說是通道層208被犧牲層206插入其間。第一半導體成分與第二半導體成分可以不同。在一些實施例中,犧牲層206包括矽鍺(SiGe)或是鍺錫(GeSn),而通道層208則包括矽(Si)。應注意的是,四(4)層犧牲層與三(3)層通道層208被交替地設置,如第2圖所示,但這僅用於說明之目的,並非旨於限制超出申請專利範圍所具體記載的內容。可以理解的是,能夠在堆疊204中形成任何數量的磊晶層。薄層的數量取決於對半導體裝置200之通道構件(member)的期望數量。在一些實施例中,通道層208的數量介於2到10之間。在第2圖所呈現的實施例中,堆疊204包括最底部的犧牲層206以及最頂部的犧牲層206。在本實施例中,最頂部之犧牲層206的作用,在於保護最頂部的通道層,並且可以在後續的製程中被完全消耗。
在一些實施例中,全部的犧牲層206可以具有實質上均勻的第一厚度,並且全部的通道層208可以具有實質上均勻的第二厚度。第一厚度與第二厚度可以是相同的或是不同的。如同下文更加詳細地描述的,通道層208或其一部分可以成為隨後形成之多重閘極裝置的通道構件,並且每個通道層208的厚度基於裝置性能的考量來進行選擇。通道區域中的犧牲層206可在最終被移除並且用於定義相鄰通道構件(形成自通道層208)之間的垂直距離,以用於隨後形成的多重閘極裝置,並且每個犧牲層206的厚度基於裝置性能的考量來進行選擇。在一些替代性實施例中,最頂部的犧牲層206可以具有大於其他犧牲層206的厚度,以更好地發揮它的功能,也就是保護下方的通道層208。
堆疊204中的犧牲層206與通道層208,可使用分子束磊晶(MBE)製程、氣相沉積(VPE)製程及/或其他合適的磊晶生長製程來進行沉積。如上所述,至少在一些範例中,犧牲層206包括磊晶生長的矽鍺(SiGe)層,而通道層208包括磊晶生長的矽(Si)層。在一些實施例中,犧牲層206與通道層208實質上不含摻雜物(即:具有自約0原子/cm 3到約1×10 17原子/cm 3的外質(extrinsic)摻雜濃度),其中,例如在堆疊204的磊晶生長製程期間並未執行有意的摻雜。在一些替代性實施例中,犧牲層206可包括矽鍺(SiGe),而通道層208包括矽(Si)。
仍舊參照第1圖、第2圖及第3圖,方法100包括方塊104,在方塊104中,鰭狀結構212自堆疊204與基板202形成。為了圖案化堆疊204,硬遮罩層210(顯示於第2圖)可被沉積在堆疊204上方以形成蝕刻遮罩。硬遮罩層210可為單層或多層。舉例來說,硬遮罩層210可包括襯墊(pad)氧化物層以及設置在襯墊氧化物層上方的襯墊氮化物層。可以使用微影製程與蝕刻製程,以自堆疊204與基板202形成鰭狀結構212。微影製程可包括光阻塗佈(例如:自旋(spin-on)塗佈)、軟烤、光罩對準、曝光、曝後烤、光阻顯影、沖洗(rinsing)、乾燥(例如:自旋乾燥及/或硬烤)、其他合適的微影技術、及/或其組合。在一些實施例中,蝕刻製程可包括乾式蝕刻(例如:反應式離子(RIE)蝕刻)、濕式蝕刻及/或其他蝕刻方法。如第3圖所示,方塊104的蝕刻製程所形成的溝槽,垂直地延伸穿過堆疊204與基板202的一部分。這些溝槽定義了鰭狀結構212。在一些實施例中,可以使用雙重圖案化(double-patterning)或是多重圖案化製程來定義鰭狀結構,使鰭狀結構具有較小的間距,例如小於另外使用單一、直接之微影製程所能獲得的間距。舉例來說,在一個實施例中,一材料層被形成在基板上方並且使用微影製程將之圖案化。使用自我對準(self-aligned)製程沿著圖案化後之材料層的側壁形成間隔物。然後,材料層被移除,並且剩餘的間隔物,或稱心軸(mandrel),可接著被用於透過蝕刻堆疊204來圖案化鰭狀結構212。如第3圖所示,包括犧牲層206與通道層208的鰭狀結構212,自基板202沿著Z方向垂直地延伸,並且沿著X方向縱向延伸。如第3圖所示,鰭狀結構212包括圖案化自基板202的基底鰭片結構212B。圖案化後的堆疊204,包含犧牲層206與通道層208,被設置於基底鰭片結構212B正上方。基底鰭片結構212B亦可被稱為平臺212B或是平臺結構212B。
隔離特徵214被形成為相鄰於鰭狀結構212。在第3圖所呈現的一些實施例中,隔離特徵214被設置在基底鰭片結構212B的側壁上。在一些實施例中,隔離特徵214可被形成在溝槽中,以將鰭狀結構212與相鄰的鰭狀結構隔離。隔離特徵214亦可被稱為淺溝槽隔離(shallow trench isolation, STI)特徵214。在用於形成隔離特徵214的一個範例性製程中,介電層首先被沉積在基板202上方,以介電層填充溝槽。介電層可包括氧化矽、氮氧化矽、氟摻雜之矽酸鹽玻璃(FSG)、低k質介電質、其組合及/或其他合適的材料。在多種範例中,介電層的沉積可藉由化學氣相沉積(CVD)製程、次常壓化學氣相沉積(SACVD)製程、流動式化學氣相沉積(FCVD)製程、自旋塗佈製程、及/或其他合適的製程來進行。接著,所沉積的介電材料被薄化以及平坦化,舉例來說,藉由化學機械研磨(chemical mechanical polishing, CMP)製程進行。平坦化後的介電層進一步藉由乾式蝕刻製程、濕式蝕刻製程及/或其組合進行掘入或是回拉(pull-back),以形成第3圖所示的隔離特徵214。在掘入之後,鰭狀結構升高至高於隔離特徵214,同時基底鰭片結構212B實質上嵌入於或者是埋入於隔離特徵214中。
參照第1圖、第4圖及第5圖,方法100包括方塊106,在方塊106中,虛擬(dummy)閘極堆疊220被形成在鰭狀結構212的通道區域212C上方。在一些實施例中,會採用閘極替換製程(或閘極後製(gate-last)製程),其中虛擬閘極堆疊220(如第4圖及第5圖所示)作為佔位符(placeholder)以經歷各種製程,並且將被移除並由功能性閘極結構所取代。其他的製程及配置也是可以接受的。在第5圖所示的一些實施例中,虛擬閘極堆疊220被形成在鰭狀結構212上方,並且鰭狀結構212可被分為位於虛擬閘極堆疊220下方的通道區域212C以及並未位於虛擬閘極堆疊220下方的源極/汲極區域212SD。通道區域212C相鄰於源極/汲極區域212SD。如第5圖所示,通道區域212C沿著X方向被設置於兩個源極/汲極區域212SD之間。
虛擬閘極堆疊220的形成可包括沉積虛擬閘極堆疊220中的薄層以及圖案化這些薄層。參照第4圖,虛擬介電層216、虛擬電極層218以及閘極頂部硬遮罩層222可被毯覆地(blanketly)沉積在工作件200上方。在一些實施例中,虛擬介電層216可被形成在鰭狀結構212上,並且使用化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、氧電漿氧化製程或是其他合適的製程來形成。在一些案例中,虛擬介電層216可包括氧化矽。在此之後,可以使用CVD製程、ALD製程或是其他合適的製程將虛擬電極層218沉積在虛擬介電層216上方。在一些案例中,虛擬電極層218可包括多晶矽。出於圖案化之目的,可以使用CVD製程、ALD製程或是其他合適的製程將閘極頂部硬遮罩層222沉積在虛擬電極層218上。閘極頂部硬遮罩層222、虛擬電極層218以及虛擬介電層216可接著被圖案化,以形成虛擬閘極堆疊,如第5圖所示。舉例來說,圖案化製程可包括微影製程(例如:黃光微影或電子束微影),微影製程可進一步包括光阻塗佈(例如:自旋塗佈)、軟烤、光罩對準、曝光、曝後烤、光阻顯影、沖洗、乾燥(例如:自旋乾燥及/或硬烤)、其他合適的微影技術、及/或其組合。在一些實施例中,蝕刻製程可包括乾式蝕刻(例如:RIE蝕刻)、濕式蝕刻及/或其他蝕刻方法。在一些實施例中,閘極頂部硬遮罩層222可包括氧化矽層223,以及氧化矽層223上方的氮化矽層224。如第5圖所示,虛擬閘極堆疊220被圖案化,使得它僅被設置在通道區域212C上方,且並未被設置在源極/汲極區域212SD上方。類似於鰭狀結構212,虛擬閘極堆疊可以使用雙重圖案化或是多重圖案化製程進行圖案化。
參照第1圖及第6圖,方法100包括方塊108,在方塊108中,閘極間隔物層226被沉積在工作件200上方,包括虛擬閘極堆疊220上方。在一些實施例中,閘極間隔物層226被順應性地(conformally)沉積在工作件200上方,包括虛擬閘極堆疊220的頂部表面及側壁上方。本文可以使用術語「順應性地」以便於描述在各個區域上具有實質上均勻厚度的薄層。閘極間隔物層226可為單層或多層。閘極間隔物層226中的至少一層可包括碳氮化矽、碳氧化矽、碳氮氧化矽或氮是化矽。可以使用諸如CVD製程、次常壓CVD(SACVD)製程、ALD製程或是其他合適的製程,將閘極間隔物層226沉積在虛擬閘極堆疊220上方。
照第1圖及第7圖,方法100包括方塊110,在方塊110中,鰭狀結構212的源極/汲極區域212SD被非等向性地掘入,以形成源極/汲極溝槽228。非等向性蝕刻可包括乾式蝕刻或是合適的蝕刻製程,非等向性蝕刻蝕刻源極/汲極區域212SD以及源極/汲極區域212SD下方之基板202的一部分。所獲得的源極/汲極溝槽228垂直地延伸穿過堆疊204的深度,並且部分地延伸到基板202之中。用於方塊110之範例性的乾式蝕刻製程可以施用含氧氣體、含氟氣體(例如:CF 4、SF 6、CH 2F 2、CHF 3及/或C 2F 6)、含氯氣體(例如:Cl 2、CHCl 3、CCl 4及/或BCl 3)、含溴氣體(例如:HBr及/或CHBr 3)、含碘氣體、其他合適的氣體及/或電漿、及/或其組合。如第7圖所示,鰭狀結構212的源極/汲極區域212SD被掘入,以曝露犧牲層206的側壁、通道層208的側壁以及基底鰭片結構212B的側壁。因為源極/汲極溝槽228延伸至低於堆疊204並且進入到基板202之中,因此源極/汲極溝槽228包括定義於基底鰭片結構212B中的底部表面與下方側壁。源極/汲極溝槽228所具有的深度D,可介於約10nm至約30nm之間。
照第1圖及第8圖,方法100包括方塊112,在方塊112中,犧牲層206被選擇性地且部分地掘入,以形成內部間隔物凹槽230。如第8圖所示,曝露於源極/汲極溝槽228中的犧牲層206(顯示於第7圖,但於第8圖中被移除)被選擇性地且部分地掘入以形成內部間隔物凹槽230,但是閘極間隔物層226、基底鰭片結構212B的曝露部分以及通道層208則是實質上未被蝕刻的。在通道層208本質上由矽(Si)組成並且犧牲層206本質上由矽鍺(SiGe)組成的實施例中,可以使用選擇性濕式蝕刻製程或者是選擇性乾式蝕刻製程來執行犧牲層206的選擇性掘入。範例性的選擇性乾式蝕刻製程可以包括使用一種或多種氟基蝕刻劑,例如使用氟氣或者是氫氟碳化物(hydrofluorocarbons)。範例性的選擇性濕式蝕刻製程可包括APM蝕刻(例如:氫氧化銨-過氧化氫-水混合物)。
參照第1圖及第9圖,方法100包括方塊114,在方塊114中,內部間隔物材料層232被順應性地沉積在工作件200上方。於形成內部間隔物凹槽230之後,內部間隔物材料層232被沉積在工作件200上方,包括閘極間隔物層226、內部間隔物凹槽230、基底鰭片結構212B的側壁以及面向頂部(top-facing)之表面上方。內部間隔物材料層232可由介電材料形成,包括矽、氧、碳及/或氮。在一些實施例中,內部間隔物材料層232可包括氧化矽、碳氮氧化矽、氮化矽、氮氧化矽、富碳碳氮化矽或是合適的低k值介電材料。在一個範例中,內部間隔物材料層232包括碳氮氧化矽。儘管第9圖中所描繪的內部間隔物材料層232是單層的,但內部間隔物材料層232可以是多層的。在一些實施例中,內部間隔物材料層232的沉積可以使用CVD、電漿增強型化學氣相沉積(PECVD)、SACVD、ALD或是其他合適的方法。如第9圖所示,內部間隔物材料層232可被沉積到內部間隔物凹槽230之中,還有通道層208的側壁、基底鰭片結構212B的側壁以及基底鰭片結構212B之曝露於源極/汲極溝槽228中的表面上方。
參照第1圖及第10圖,方法100包括方塊116,在方塊116中,聚合物保護層236被沉積在內部間隔物材料層232上方。聚合物保護層236由含氟聚合物所形成,其分子結構包括矽(Si)、碳(C)、氮(N)或是氟(F)。在一個範例中,聚合物保護層236包括氟化聚矽氧(fluorinated silicone)或者是氟化聚矽烷(fluorinated polysilane)。聚合物保護層236的沉積可以使用CVD、流動式CVD(FCVD)或是自旋塗佈。在一些實施例中,沉積後的聚合物保護層236可接著藉由退火或是紫外(UV)光進行固化(cured)。在聚合物保護層236被沉積及/或固化之後,聚合物保護層236的頂部表面低於鰭狀結構212的頂部表面。換句話說,聚合物保護層236的頂部表面低於最頂部之通道層208的頂部表面。聚合物保護層236用於保護基底鰭片結構212B上的內部間隔物材料層232,其為基板202的延伸,以保持基底鰭片結構212B在後續的回蝕刻操作之後,仍被內部間隔物材料層232所覆蓋。就這方面而言,聚合物保護層236應該要沿著Z方向具有足夠的厚度,以保護下方的內部間隔物材料層232。在一些實施例中,儘管聚合物保護層236的頂部表面低於最頂部之通道層208的頂部表面,但聚合物保護層236的頂部表面高於第二靠近頂部之通道層208的頂部表面。在一些替代性實施例中,於聚合物保護層236的沉積/固化之後,聚合物保護層236的頂部表面仍舊可以高於最頂部的通道層208。在這些替代性實施例中,所沉積的聚合物保護層236被選擇性地回蝕刻,直到聚合物保護層236的頂部表面低於最頂部的通道層208並且高於第二靠近頂部的通道層208。
參照第1圖及第11圖,方法100包括方塊118,在方塊118中,聚合物保護層236與內部間隔物材料層232被回蝕刻,以形成內部間隔物特徵234。參照第11圖,內部間隔物材料層232與沈積在其上的聚合物保護層236接著被非等向性地回蝕刻,以自通道層208的側壁移除內部間隔物材料層232,以在內部間隔物凹槽230中形成內部間隔物特徵234。在方塊118中,亦可從閘極頂部硬遮罩層222與閘極間隔物層226的頂部表面及/或側壁移除內佈間隔物材料層232。在一些實施例中,方塊118中執行的回蝕刻操作可包括使用氟化氫(HF)、氟氣(F 2)、氫氣(H 2)、氨氣(NH 3)、三氟化氮(NF 3)或是其他氟基蝕刻劑。如第11圖所示,每個內部間隔物特徵234與被掘入的犧牲層206直接接觸,並且被垂直地(沿著Z方向)設置於兩個相鄰的通道層208之間。根據本揭露,聚合物保護層236的蝕刻速率低於內部間隔物材料層232,使得聚合物保護層236可以保護內部間隔物材料層232之直接位於基底鰭片結構212B上方的底部部分(底部內部間隔物層2340)。如此一來,如同第11圖中所代表性呈現的,並非所有的聚合物保護層236都被從源極/汲極區域212SD蝕刻掉。就這方面而言,方塊118中的操作亦在基底鰭片結構212B之面向頂部的表面以及側壁上形成底部內部間隔物層2340。
參照第1圖及第12圖,方法100包括方塊120,在方塊120中,聚合物保護層236被移除。在形成任何磊晶層之前,方法100可以包括清潔製程以清潔工作件200的表面。清潔製程可包括乾式清潔、濕式清潔或其組合。在一些範例中,濕式清潔可包括使用標準清潔1(RCA SC-1,去離子(DI)水、氫氧化銨與過氧化氫的混合物)、標準清潔2(RCA SC-2,DI水、鹽酸與過氧化氫的混合物)、SPM(過氧化硫酸混合物)及/或氫氟酸以移除氧化物。乾式清潔製程可包括使用氮(N 2)及氫(H 2)電漿的處理。氫處理可以將表面上的矽轉化為矽烷(SiH 4),其可被泵抽(pump out)以進行移除。在一些實施例中,清潔製程可以移除殘留的聚合物保護層236。
參照第12圖,底部內部間隔物層2340被直接設置在基底鰭片結構212B之面向頂部的表面及側壁上,但不具有均勻的厚度。如上所述,方塊118中的回蝕刻蝕刻內部間隔物材料層232的速率,高於蝕刻聚合物保護層236的速率。底部內部間隔物層2340包括基底鰭片結構212B之面向頂部的表面上的下方部分,以及基底鰭片結構212B之側壁上的側壁部分。因為聚合物保護層236減緩了回蝕刻,因此下方部分厚於側壁部分。如第12圖所示,側壁部分具有第一厚度T1,而下方部分具有第二厚度T2。在一些案例中,第一厚度T1介於約1nm與約4nm之間,而第二厚度T2介於約2nm與約5nm之間。可以觀察到,由於使用了聚合物保護層236,第二厚度T2對第一厚度T1的比值可介於1.2與2之間。
參照第1圖、第13圖及第14圖,方法100包括方塊122,在方塊122中,源極/汲極特徵246被形成自通道層208的表面。於所繪實施例中,源極/汲極特徵246包括第一磊晶層238、第二磊晶層240以及第三磊晶層244。方塊122中的操作包括自通道層208的側壁磊晶沉積第一磊晶層238(顯示於第13圖)、磊晶沉積第二磊晶層240(顯示於第13圖)以及磊晶沉積第三磊晶層244(顯示於第14圖)。根據本揭露,第一磊晶層238被選擇性地沉積在通道層208的側壁上。為了確保第一磊晶層238的選擇性沉積,第一磊晶層238的沉積可使用生長-蝕刻(growth-etch)沉積製程或是循環(cyclic)沉積製程。顧名思義,生長-蝕刻沉積製程包括生長部分(或生長循環)以及蝕刻部分(或蝕刻循環)。生長部分(或生長循環)主要在半導體表面(即:通道層208的表面)上選擇性地沉積第一磊晶層238,而蝕刻部分(或蝕刻循環)移除沉積在非半導體表面(即:內部間隔物特徵234、閘極間隔物層226以及底部內部間隔物層2340)上的第一磊晶層238。
第一磊晶層238可為n型或是p型。當第一磊晶層238為n型時,它可以包括磷摻雜的矽(Si:P)或是砷摻雜的矽(Si:As)。當第一磊晶層238為p型時,它可以包括硼摻雜的矽鍺(SiGe:B)。在一些實施例中,第一磊晶層238可以是原位(in-situ)摻雜的。當第一磊晶層238中的摻雜物為磷(P)時,生長-蝕刻沉積製程所包括的生長循環包含使用磷化氫(PH 3)。當第一磊晶層238中的摻雜物為砷(As)時,生長-蝕刻沉積製程所包括的生長循環包含使用砷化三氫(AsH 3)。當第一磊晶層238中的摻雜物為硼(B)時,生長-蝕刻沉積製程所包括的生長循環包含使用三氟化硼(BF 3)。第一磊晶層238作為屏障磊晶層,用以減少從第二磊晶層到通道層208的摻雜物擴散。為了適當地作為屏障磊晶層,第一磊晶層238被形成為完全地覆蓋通道層208的所有曝露表面。在一些案例中,生長-蝕刻沉積製程可包括約2至5個生長循環以及約2至5個蝕刻循環。在一個實施例中,生長-蝕刻沉積製程可包括約2至3個生長循環以及約2至3個蝕刻循環,以在通道層208上方達成令人滿意之第一磊晶層238的覆蓋。
第二磊晶層240的沉積可以使用氣相磊晶(VPE)、超高真空化學氣相沉積(UHV-CVD)、分子束磊晶(MBE)及/或其他合適的製程來進行。第二磊晶層240是重度摻雜的半導體層,以降低寄生電阻。基於此種原因,當第二磊晶層240的體積增加時,電阻降低。與第一磊晶層相同,第二磊晶層240可為n型或p型,並且可以是原位摻雜的。當第二磊晶層240為n型時,它可以包括磷摻雜的矽(Si:P)或是砷摻雜的矽(Si:As)。當第二磊晶層240為p型時,它可以包括硼摻雜的矽鍺(SiGe:B)。應注意的是,無論第一磊晶層238中的摻雜物是磷(P)、砷(As)或是硼(B),第二磊晶層240中的摻雜濃度大於第一磊晶層238中的摻雜濃度。當第一磊晶層238及第二磊晶層240為p型時,第一磊晶層238中的鍺含量小於第二磊晶層240中的鍺含量,以降低晶格不匹配(mismatch)的缺陷。如第13圖所示,第二磊晶層240被允許從第一磊晶層238的兩個側壁生長,以在源極/汲極溝槽228的中間合併。因為第一磊晶層238及第二磊晶層240是磊晶形成的,因此第二磊晶層240的沉積形成了間隙242,每個間隙242由第二磊晶層240的底部表面與底部內部間隔物層2340所定義。在第13圖所呈現的一些實施例中,每個間隙242可以曝露最底部之內部間隔物特徵234的一些部分。
參照第14圖,方塊122在第二磊晶層240的頂部表面上方沉積第三磊晶層244。在一些實施例中,第三磊晶層244的沉積可以使用氣相磊晶(VPE)、超高真空CVD(UHV-CVD)、分子束磊晶(MBE)及/或其他合適的製程來進行。第三磊晶層244作為覆蓋磊晶層,用以防止第二磊晶層240中的摻雜物在形成源極/汲極接點之前擴散到相鄰的結構之中。為了適當地作為覆蓋磊晶層,第三磊晶層244可被摻雜,儘管摻雜濃度小於第二磊晶層240中的摻雜濃度。與第一磊晶層238及第二磊晶層240相似,第三磊晶層244可為n型或是p型。當第三磊晶層244為n型時,它可以包括磷摻雜的矽(Si:P)或是砷摻雜的矽(Si:As)。當第三磊晶層244為p型時,它可以包括硼摻雜的矽鍺(SiGe:B)。
仍舊參照第14圖,一個源極/汲極區域212SD上方的第一磊晶層238、第二磊晶層240與第三磊晶層244可被共同稱為源極/汲極特徵246。源極/汲極特徵246經由第一磊晶層238與通道層208的側壁連接(interface)。第二磊晶層240佔了源極/汲極特徵246之總體積的大部分。第二磊晶層240藉由第一磊晶層238與通道層208的側壁間隔開來。第二磊晶層240能夠與內部間隔物特徵234的側壁直接接觸。第三磊晶層244防止摻雜物從重度摻雜的第二磊晶層240擴散。第14圖顯示了間隙242及底部內部間隔物層2340將源極/汲極特徵246與基板和基底鰭片結構212B絕緣。
參照第1圖以及第15圖至第22圖,方法100包括方塊124,在方塊124中,虛擬閘極堆疊220被以閘極結構260取代。方塊124可包括在第三磊晶層244上方沉積層間介電(interlayer dielectric, ILD)層248(顯示於第15圖)、移除虛擬閘極堆疊220 (顯示於第16圖)、選擇性地移除通道區域212C中的犧牲層206以釋放(release)通道層208作為通道構件2080(顯示於第17圖)、以及形成閘極結構260以包裹環繞每個通道構件2080(顯示於第18圖)。參照第15圖,ILD層248被沉積在工作件200上方,包括第三磊晶層244上方。在一些實施例中,ILD層248所包括的材料例如正矽酸乙酯(TEOS)氧化物、未摻雜之矽酸鹽玻璃或是經摻雜的氧化矽,例如硼磷矽酸鹽玻璃(BPSG)、熔融石英玻璃(FSG)、磷矽酸鹽玻璃(PSG)、硼摻雜之矽酸鹽玻璃(BSG)、及/或其他合適的介電材料。ILD層248的沉積可以使用CVD、FCVD、自旋塗佈或是合適的沉積技術來進行。在並未明確顯示於第15圖中的一些替代性實施例中,可於沉積ILD層248之前,在第三磊晶層244上方沉積接觸蝕刻停止層(CESL)。接觸蝕刻停止層可包括氮化矽。在沉積ILD層248之後,可藉由平坦化製程將工作件200平坦化,以曝露虛擬閘極堆疊220。舉例來說,平坦化製程可包括化學機械研磨(CMP)製程。虛擬閘極堆疊220的曝露允許移除虛擬閘極堆疊220。
參照第16圖,虛擬閘極堆疊220被移除。虛擬閘極堆疊220的移除可包括一或多個蝕刻製程,它們對虛擬閘極堆疊220的材料具有選擇性。舉例來說,虛擬閘極堆疊220的移除,可以使用對虛擬閘極堆疊220具有選擇性的選擇性濕式蝕刻、選擇性乾式蝕刻或其組合來執行。在移除虛擬閘極堆疊220之後,通道區域212C中之通道層208及犧牲層206的側壁被曝露。參照第17圖,在移除虛擬閘極堆疊220之後,於通道區域212C中,通道層208之間的犧牲層206被選擇性地移除。犧牲層206的選擇性移除釋放了通道層208(顯示於第16圖),以形成第17圖所示的通道構件2080。犧牲層206的選擇性移除形成了閘極溝槽250,閘極溝槽250包括介於相鄰的通道構件2080之間的空間。犧牲層206的選擇性移除可以藉由選擇性乾式蝕刻、選擇性濕式蝕刻或是其他選擇性蝕刻製程來實行。範例性的選擇性乾式蝕刻製程可以包括使用一或多種氟基蝕刻劑,例如使用氟氣或者是氫氟碳化物。範例性的選擇性濕式蝕刻製程可包括APM蝕刻(例如:氫氧化銨-過氧化氫-水混合物)。
參照第18圖,在釋放通道構件2080之後,閘極結構260被沉積在閘極溝槽250中,以包裹環繞每個通道構件2080。儘管並未明確顯示,但閘極結構260包括在通道區域212C中連接通道構件2080與基底鰭片結構212B的界面層、界面層上方的閘極介電層、以及閘極介電層上方的閘極電極層。界面層可包括介電材料,例如氧化矽、矽酸鉿(hafnium silicate)或是氮氧化矽。界面層的形成可藉由化學氧化、熱氧化、原子層沉積(ALD)、化學氣相沉積(CVD)及/或其他合適的方法來進行。閘極介電層可包括高k值介電材料,例如氧化鉿。替代性地,閘極介電層可包括其他高k值介電材料,例如氧化鈦(TiO 2)、氧化鉿鋯(HfZrO)、氧化鉭(Ta 2O 5)、氧化鉿矽(HfSiO 4)、氧化鋯(ZrO 2)、氧化鋯矽(ZrSiO2)、氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氧化鋯(ZrO)、氧化釔(Y 2O 3)、SrTiO 3(STO)、BaTiO 3(BTO)、BaZrO、氧化鉿鑭(HfLaO)、氧化鑭矽(LaSiO)、氧化鋁矽(AlSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、(Ba,Sr)TiO 3(BST)、氮化矽(SiN)、氮氧化矽(SiON)、其組合、或是其他合適的材料。閘極介電層的形成可藉由ALD、物理氣相沉積(PVD)、CVD、氧化及/或其他合適的方法來進行。
閘極結構260的閘極電極層可包括單層或者是多層結構,例如具有用以增加裝置性能之經過選擇的功函數的金屬層(功函數金屬層)、襯墊(liner)層、潤濕(wetting)層、黏著(adhesion)層、金屬合金或是金屬矽化物的各種組合。作為範例,閘電極層可包括氮化鈦(TiN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮化鉭(TaN)、鉭鋁(TaAl)、氮化鉭鋁(TaAlN)、碳化鉭鋁(TaAlC)、碳氮化鉭(TaCN)、鋁(Al)、鎢(W)、鎳(Ni)、鈦(Ti)、釕(Ru)、鈷(Co)、鉑(Pt)、碳化鉭(TaC)、氮化鉭矽(TaSiN)、銅(Cu)、其他耐火金屬(refractory metal)、或是其他合適的金屬材料或其組合。在多種實施例中,閘極電極層可藉由ALD、PVD、CVD、電子束蒸鍍(evaporation)或是其他合適的製程形成。在多種實施例中,可以執行CMP製程以移除多餘的金屬,進而為閘極結構提供實質上平坦的頂部表面。閘極結構包括插入於通道區域212C中的通道構件2080之間的部分。
現在參照第18圖及第19圖。第二磊晶層240的沉積可經過配置,以改變第二磊晶層240的體積。當使得方塊122中之第二磊晶層240的沉積更加具有選擇性時,第二磊晶層240具有較小的體積,並且第二磊晶層240的底部表面可以高於基底鰭片結構212B的頂部表面,如第18圖所示。當使得方塊122中之第二磊晶層240的沉積較不具有選擇性時,第二磊晶層240具有較大的體積,並且第二磊晶層240的底部表面可以低於基底鰭片結構212B的頂部表面,如第19圖所示。因為第二磊晶層240是摻雜程度最重的薄層,因此第二磊晶層240的體積會影響源極/汲極特徵246的接觸電阻。當第二磊晶層240的體積較大時,源極/汲極特徵246的接觸電阻較低。當第二磊晶層240的體積較小時,源極/汲極特徵246的接觸電阻較大。在一些實施例中,第二磊晶層240的沉積,可以藉由具有較高的製程溫度而變得更具選擇性,並且可以藉由具有較低的製程溫度而變得選擇性較低。第18圖或第19圖中的間隙242具有高度H,並且高度H可以介於約2nm與約20nm之間。
第20圖顯示了工作件200的局部俯視圖。第20圖有助於說明第2圖至第19圖以及第21圖至第22圖的截面線段。第20圖顯示了工作件200的一部分。在第20圖中,工作件200的所示部分包括兩個鰭狀結構212或稱兩個鰭狀主動區212,沿著X方向縱向延伸。閘極結構260沿著Y方向延伸,以跨越兩個鰭狀結構212的通道區域。閘極結構260包裹環繞兩個鰭狀結構212中的每個通道構件2080。每個鰭狀結構212的通道區域沿著X方向夾設於兩個源極/汲極區域之間。源極/汲極特徵246被設置在源極/汲極區域上方。閘極結構260的側壁由閘極間隔物層226所襯墊。第20圖包括三個截面線段,分別是線段A-A’、線段B-B’以及線段C-C’。 線段A-A’沿著X方向延伸並且切割穿過鰭狀結構212、源極/汲極特徵246、閘極間隔物層226、通道構件2080以及閘極結構260。線段B-B’沿著Y方向延伸以穿過兩個源極/汲極特徵246。線段C-C’沿著X方向延伸並且切割穿過閘極間隔物層226以及閘極結構260。第2圖至第19圖包括沿著線段A-A’的局部截面圖。
第21圖顯示了工作件20沿著線段B-B’的局部截面圖。第21圖顯示了自基板202延伸的兩個基底鰭片結構212B。這兩個基底鰭片結構212B藉由隔離特徵214沿著Y方向彼此間隔。閘極間隔物層226被設置在隔離特徵214上,並且沿著基底鰭片結構212B的側壁延伸。被沿著基底鰭片結構212B之側壁設置的閘極間隔物層226可被稱為鰭片側壁226。工作件200包括設置在基底鰭片結構212B之頂部表面上的底部內部間隔物層2340。沿著Y方向,底部內部間隔物層2340被夾設於兩個鰭片側壁226之間。每個間隙242垂直地夾設於底部內部間隔物層2340與源極/汲極特徵246之間。每個間隙242沿著Y方向定義於兩個鰭片側壁226之間。在一些實施例中,每個源極/汲極特徵246與鰭片側壁226直接接觸。ILD層248被設置在隔離特徵214、鰭片側壁226以及源極/汲極特徵246上方。在並未明確顯示於圖式中的一些實施例中,ILD層248藉由接觸蝕刻停止層與源極/汲極特徵246、鰭片側壁226以及隔離特徵214間隔開來。
隔離特徵214包括直接位於鰭片側壁226下方的頂部部分214A,以及在主體的隔離特徵214與頂部部分214A之間延伸的頸部部分214B。在第21圖所呈現的一些實施例中,方塊118中內部間隔物材料層232與聚合物保護層236的回蝕刻也同樣蝕刻了隔離特徵214。回蝕可能會導致鰭片側壁226下方的底切(undercut),導致頂部部分214A懸垂(overhang)在頸部部分214B上。換句話說,ILD層248的一部分可以部分地延伸到頂部部分214A下方。
第22圖顯示了工作件20沿著線段C-C’的局部截面圖。因為線段C-C’切割穿過閘極結構260但並未穿過任何源極/汲極特徵246,因此第22圖顯示了設置在隔離特徵214上的閘極結構260的一部分。如同前文參照第21圖所述,隔離特徵214包括與閘極間隔物層226和閘極結構260接觸的頂部部分214A,以及位於頂部部分214A下方的頸部部分214B。方塊118中的蝕刻導致隔離特徵214的底切,使得頂部部分214A懸垂於頸部部分214B上。換句話說,ILD層248部分地在隔離特徵214之頂部部分214A的一部分下方延伸。
在一個範例性態樣中,本揭露直指一種半導體結構的製造方法。上述半導體結構的製造方法包括在基板上方形成鰭狀結構,鰭狀結構包括被複數犧牲層插入其間的複數通道層;掘入鰭狀結構的源極/汲極區域以形成源極/汲極凹槽,源極/汲極凹槽曝露基板的一部分、複數犧牲層的複數側壁以及複數通道層的複數側壁;選擇性地且部分地掘入複數犧牲層的複數側壁以形成複數內部間隔物凹槽;在基板以及複數內部間隔物凹槽上方順應性地沉積介電層;在介電層上方沉積聚合物層,使得聚合物層的頂部表面低於鰭狀結構的頂部表面;回蝕刻聚合物層以及介電層,以在複數內部間隔物凹槽中形成複數內部間隔物特徵,並且在基板的上述部分上方形成內部間隔物層;以及自複數通道層的複數側壁磊晶沉積多於一層的磊晶層,以在源極/汲極凹槽中形成源極/汲極特徵。源極/汲極特徵與內部間隔物層定義一間隙。
在一些實施例中,介電層包括矽、氧、碳以及氮。在一些實施例中,聚合物層包括碳、氫、氧以及氟。在一些實施例中,聚合物層並未含有矽。
在一些實施例中,內部間隔物層的表面實質上沒有上述多於一層的磊晶層。在一些實施例中,於聚合物層以及介電層的回蝕刻期間,聚合物層的蝕刻速率小於介電層的蝕刻速率。
在一些實施例中,上述半導體結構的製造方法更包括在聚合物層以及介電層的回蝕刻之後,選擇性地移除聚合物層。在一些實施例中,於聚合物層以及介電層的回蝕刻之後,基板實質上被內部間隔物層所覆蓋。
在另一個範例性態樣中,本揭露直指一種半導體結構的製造方法。上述半導體結構的製造方法包括接收工作件,工作件包含基板以及位於基板上方的鰭狀結構,鰭狀結構包括基底鰭片以及基底鰭片上方的半導體堆疊,半導體堆疊包括被複數第二半導體層插入其間的複數第一半導體層;在鰭狀結構的通道區域上方形成虛擬閘極堆疊;在工作件上方沉積頂部間隔物層;在沉積頂部間隔物層之後,掘入工作件以於鰭狀結構的源極/汲極區域上方形成源極/汲極凹槽,其中源極/汲極凹槽延伸至基板內,並且曝露複數第一半導體層與複數第二半導體層的複數側壁;選擇性地且部分地掘入複數第二半導體層的複數側壁以形成複數內部間隔物凹槽;在基板以及複數內部間隔物凹槽上方順應性地沉積介電層;在介電層上方沉積聚合物層,使得聚合物層的頂部表面低於鰭狀結構的頂部表面;回蝕刻聚合物層以及介電層,以在複數內部間隔物凹槽中形成複數內部間隔物特徵,並在基板上方形成內部間隔物層;在回蝕刻之後,移除聚合物層;在複數第一半導體層的複數側壁上選擇性地沉積第一磊晶層;以及在第一磊晶層的複數表面上選擇性地沉積第二磊晶層。內部間隔物層包括設置於基板之面向頂部部分上的頂部部分,以及設置於基板之側壁上的側壁部分。底部部分的厚度大於側壁部分的厚度。
在一些實施例中,第一磊晶層以及第二磊晶層包括包括一半導體材料與一摻雜物。第一磊晶層中之摻雜物的第一濃度,小於第二磊晶層中之摻雜物的第二濃度。
在一些實施例中,第二磊晶層的底部表面,比基底鰭片的頂部表面還要低約1奈米至約15奈米。在一些實施例中,第二磊晶層的底部表面,比基底鰭片的頂部表面還要高約1奈米至約5奈米。
在一些實施例中,底部部分的頂部表面,以一間隙與第二磊晶層間隔。在一些實施例中,頂部間隔物層的沉積,形成了沿著基底鰭片之複數側壁延伸的第一間隔物側壁以及第二間隔物側壁。在一些實施例中,上述間隙設置於第一間隔物側壁與第二間隔物側壁之間。
在又一個範例性態樣中,本揭露直指一種半導體結構。上述半導體結構包括基板;自基板延伸的基底鰭片;設置於基底鰭片正上方之複數奈米結構的垂直堆疊;與複數奈米結構之垂直堆疊的複數末端表面接觸的源極/汲極特徵;以及底部介電層,底部介電層包含設置於基板上的底部部分以及設置於基底鰭片之側壁上的側壁部分。源極/汲極特徵的底部表面,以一間隙與底部部分間隔。
在一些實施例中,底部部分的厚度大於側壁部分的厚度。在一些實施例中,上述半導體結構更包括閘極結構,包裹環繞垂直堆疊之複數奈米結構中的每一者,並且與基底鰭片的頂部表面接觸。
在一些實施例中,閘極結構藉由複數內部間隔物特徵與源極/汲極特徵間隔,其中複數內部間隔物特徵插入複數奈米結構的垂直堆疊之間。在一些實施例中,複數內部間隔物特徵之最底部的一者,毗鄰於側壁部分。
前述內文概述多項實施例之特徵,如此可使於本技術領域中具有通常知識者更佳地瞭解本揭露之態樣。本技術領域中具有通常知識者應當理解他們可輕易地以本揭露為基礎設計或修改其他製程及結構,以完成相同之目的及/或達到與本文介紹之實施例或範例相同之優點。本技術領域中具有通常知識者亦需理解,這些等效結構並未脫離本揭露之精神及範圍,且在不脫離本揭露之精神及範圍之情況下,可對本揭露進行各種改變、置換以及變更。
100:方法 102~124:方塊 200:工作件 202:基板 204:堆疊 206:犧牲層 208:通道層 210:硬遮罩層 212:鰭狀結構 212B:基底鰭片結構 212C:通道區域 212SD:源極/汲極區域 214:隔離特徵 214A:頂部部分 214B:頸部部分 216:虛擬介電層 218:虛擬電極層 220:虛擬閘極堆疊 222:閘極頂部硬遮罩層 223:氧化矽層 224:氮化矽層 226:閘極間隔物層 228:源極/汲極溝槽 230:內部間隔物凹槽 232:內部間隔物材料層 234:內部間隔物特徵 236:聚合物保護層 238:第一磊晶層 240:第二磊晶層 242:間隙 244:第三磊晶層 246:源極/汲極特徵 248:ILD層 250:閘極溝槽 260:閘極結構 2080:通道構件 2340:底部內部間隔物層 A-A’, B-B’, C-C’:線段 D:深度 H:高度 T1:第一厚度 T2:第二厚度
本揭露自後續實施方式及圖式可以得到更佳的理解。須強調的是,依據產業之標準作法,各種特徵並未按比例繪製,並且僅用於說明之目的。事實上,各種特徵之尺寸可能任意增加或減少以使論述清晰易懂。 第1圖係根據本揭露一或多種態樣所示,用於形成半導體裝置之方法的流程圖。 第2圖至第21圖係根據本揭露一或多種態樣所示,在根據第1圖之方法的製造製程期間,工作件的局部截面圖或俯視圖。 第22圖係根據本揭露一或多種態樣所示,閘極結構的局部截面圖。
200:工作件
202:基板
212B:基底鰭片結構
212C:通道區域
212SD:源極/汲極區域
226:閘極間隔物層
234:內部間隔物特徵
238:第一磊晶層
240:第二磊晶層
242:間隙
244:第三磊晶層
246:源極/汲極特徵
248:ILD層
260:閘極結構
2080:通道構件
2340:底部內部間隔物層
H:高度
T1:第一厚度
T2:第二厚度

Claims (20)

  1. 一種半導體結構的製造方法,包括: 在一基板上方形成一鰭狀結構,上述鰭狀結構包括被複數犧牲層插入其間的複數通道層; 掘入上述鰭狀結構的一源極/汲極區域以形成一源極/汲極凹槽,上述源極/汲極凹槽曝露上述基板的一部分、上述犧牲層的複數側壁以及上述通道層的複數側壁; 選擇性地且部分地掘入上述犧牲層的上述側壁以形成複數內部間隔物凹槽; 在上述基板以及上述內部間隔物凹槽上方順應性地沉積一介電層; 在上述介電層上方沉積一聚合物層,使得上述聚合物層的一頂部表面低於上述鰭狀結構的一頂部表面; 回蝕刻上述聚合物層以及上述介電層,以在上述內部間隔物凹槽中形成複數內部間隔物特徵,並且在上述基板的上述部分上方形成一內部間隔物層;以及 自上述通道層的上述側壁磊晶沉積多於一層的磊晶層,以在上述源極/汲極凹槽中形成一源極/汲極特徵; 其中上述源極/汲極特徵與上述內部間隔物層定義一間隙。
  2. 如請求項1之半導體結構的製造方法,其中上述介電層包括矽、氧、碳以及氮。
  3. 如請求項1之半導體結構的製造方法,其中上述聚合物層包括碳、氫、氧以及氟。
  4. 如請求項1之半導體結構的製造方法,其中上述聚合物層並未含有矽。
  5. 如請求項1之半導體結構的製造方法,其中上述內部間隔物層的表面實質上沒有上述多於一層的磊晶層。
  6. 如請求項1之半導體結構的製造方法,其中在上述聚合物層以及上述介電層的回蝕刻期間,上述聚合物層的蝕刻速率小於上述介電層的蝕刻速率。
  7. 如請求項1之半導體結構的製造方法,更包括: 在上述聚合物層以及上述介電層的回蝕刻之後,選擇性地移除上述聚合物層。
  8. 如請求項1之半導體結構的製造方法,其中在上述聚合物層以及上述介電層的回蝕刻之後,上述基板實質上被上述內部間隔物層所覆蓋。
  9. 一種半導體結構的製造方法,包括: 接收一工作件,上述工作件包括: 一基板;以及 一鰭狀結構,位於上述基板上方,上述鰭狀結構包括一基底鰭片以及上述基底鰭片上方的一半導體堆疊,上述半導體堆疊包括被複數第二半導體層插入其間的複數第一半導體層; 在上述鰭狀結構的一通道區域上方形成一虛擬閘極堆疊; 在上述工作件上方沉積一頂部間隔物層; 在沉積上述頂部間隔物層之後,掘入上述工作件以於上述鰭狀結構的一源極/汲極區域上方形成一源極/汲極凹槽,上述源極/汲極凹槽延伸至上述基板內,並且曝露上述第一半導體層與上述第二半導體層的複數側壁; 選擇性地且部分地掘入上述第二半導體層的上述側壁以形成複數內部間隔物凹槽; 在上述基板以及上述內部間隔物凹槽上方順應性地沉積一介電層; 在上述介電層上方沉積一聚合物層,使得上述聚合物層的一頂部表面低於上述鰭狀結構的一頂部表面; 回蝕刻上述聚合物層以及上述介電層,以在上述內部間隔物凹槽中形成複數內部間隔物特徵,並在上述基板上方形成一內部間隔物層; 在上述回蝕刻之後,移除上述聚合物層; 在上述第一半導體層的上述側壁上選擇性地沉積一第一磊晶層;以及 在上述第一磊晶層的複數表面上選擇性地沉積一第二磊晶層; 其中上述內部間隔物層包括設置於上述基板之一面向頂部部分上的一頂部部分,以及設置於上述基板之一側壁上的一側壁部分; 其中上述底部部分的厚度大於上述側壁部分的厚度。
  10. 如請求項9之半導體結構的製造方法,其中: 上述第一磊晶層以及上述第二磊晶層包括包括一半導體材料與一摻雜物;以及 上述第一磊晶層中之上述摻雜物的一第一濃度,小於上述第二磊晶層中之上述摻雜物的一第二濃度。
  11. 如請求項9之半導體結構的製造方法,其中上述第二磊晶層的一底部表面,比上述基底鰭片的一頂部表面還要低1奈米至15奈米。
  12. 如請求項9之半導體結構的製造方法,其中上述第二磊晶層的一底部表面,比上述基底鰭片的一頂部表面還要高1奈米至5奈米。
  13. 如請求項9之半導體結構的製造方法,其中上述底部部分的一頂部表面,以一間隙與上述第二磊晶層間隔。
  14. 如請求項13之半導體結構的製造方法,其中上述頂部間隔物層的沉積,形成了沿著上述基底鰭片之複數側壁延伸的一第一間隔物側壁以及一第二間隔物側壁。
  15. 如請求項14之半導體結構的製造方法,其中上述間隙設置於上述第一間隔物側壁與上述第二間隔物側壁之間。
  16. 一種半導體結構,包括: 一基板; 一基底鰭片,自上述基板延伸; 複數奈米結構的一垂直堆疊,設置於上述基底鰭片正上方; 一源極/汲極特徵,與上述奈米結構之上述垂直堆疊的複數末端表面接觸;以及 一底部介電層,包括設置於上述基板上的一底部部分以及設置於上述基底鰭片之一側壁上的一側壁部分; 其中上述源極/汲極特徵的一底部表面,以一間隙與上述底部部分間隔。
  17. 如請求項16之半導體結構,其中上述底部部分的厚度大於上述側壁部分的厚度。
  18. 如請求項16之半導體結構,更包括: 一閘極結構,包裹環繞上述垂直堆疊之上述奈米結構的每一者,並且與上述基底鰭片的一頂部表面接觸。
  19. 如請求項18之半導體結構,其中上述閘極結構藉由複數內部間隔物特徵與上述源極/汲極特徵間隔,其中上述內部間隔物特徵插入上述奈米結構的上述垂直堆疊之間。
  20. 如請求項19之半導體結構,其中上述內部間隔物特徵之最底部的一者,毗鄰於上述側壁部分。
TW112103388A 2022-05-09 2023-02-01 半導體結構及其製造方法 TW202407884A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263339688P 2022-05-09 2022-05-09
US63/339,688 2022-05-09
US17/848,701 2022-06-24
US17/848,701 US20230361176A1 (en) 2022-05-09 2022-06-24 Source/drain leakage prevention

Publications (1)

Publication Number Publication Date
TW202407884A true TW202407884A (zh) 2024-02-16

Family

ID=88648310

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112103388A TW202407884A (zh) 2022-05-09 2023-02-01 半導體結構及其製造方法

Country Status (2)

Country Link
US (1) US20230361176A1 (zh)
TW (1) TW202407884A (zh)

Also Published As

Publication number Publication date
US20230361176A1 (en) 2023-11-09

Similar Documents

Publication Publication Date Title
TWI786608B (zh) 半導體裝置及其製造方法
US11699760B2 (en) Contact structure for stacked multi-gate device
US11855224B2 (en) Leakage prevention structure and method
TWI792456B (zh) 半導體裝置及其形成方法
TWI783606B (zh) 半導體裝置及其形成方法
KR102458020B1 (ko) 게이트 격리 구조물
TWI792267B (zh) 半導體裝置及其製造方法
US11444178B2 (en) Inner spacer liner
US20230215929A1 (en) Metal gate cap
TWI829141B (zh) 半導體結構及其製造方法
US11489078B2 (en) Lightly-doped channel extensions
TW202145570A (zh) 半導體裝置
US20230361176A1 (en) Source/drain leakage prevention
TWI832404B (zh) 半導體結構及其形成方法
TWI835324B (zh) 半導體結構及其形成方法
US20230207653A1 (en) Low resistance contact feature
US11855081B2 (en) Method of forming epitaxial features
US20230120656A1 (en) Leakage reduction for multi-gate devices
CN116682730A (zh) 半导体结构及其形成方法
TW202343842A (zh) 半導體結構及其形成方法
CN117457712A (zh) 半导体结构及其形成方法