TW202229479A - 拋光組合物及其使用方法 - Google Patents
拋光組合物及其使用方法 Download PDFInfo
- Publication number
- TW202229479A TW202229479A TW110141358A TW110141358A TW202229479A TW 202229479 A TW202229479 A TW 202229479A TW 110141358 A TW110141358 A TW 110141358A TW 110141358 A TW110141358 A TW 110141358A TW 202229479 A TW202229479 A TW 202229479A
- Authority
- TW
- Taiwan
- Prior art keywords
- acid
- group
- polishing composition
- removal rate
- phosphate
- Prior art date
Links
- 238000005498 polishing Methods 0.000 title claims abstract description 170
- 239000000203 mixture Substances 0.000 title claims abstract description 159
- 238000000034 method Methods 0.000 title claims description 36
- 239000003638 chemical reducing agent Substances 0.000 claims abstract description 69
- 150000004767 nitrides Chemical class 0.000 claims abstract description 69
- 239000002253 acid Substances 0.000 claims abstract description 21
- ABLZXFCXXLZCGV-UHFFFAOYSA-N Phosphorous acid Chemical group OP(O)=O ABLZXFCXXLZCGV-UHFFFAOYSA-N 0.000 claims abstract description 18
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims abstract description 18
- 230000002209 hydrophobic effect Effects 0.000 claims abstract description 17
- 125000002947 alkylene group Chemical group 0.000 claims abstract description 8
- QAOWNCQODCNURD-UHFFFAOYSA-L sulfate group Chemical group S(=O)(=O)([O-])[O-] QAOWNCQODCNURD-UHFFFAOYSA-L 0.000 claims abstract description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 158
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 142
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 142
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 88
- 229910052751 metal Inorganic materials 0.000 claims description 39
- 239000002184 metal Substances 0.000 claims description 39
- 239000003082 abrasive agent Substances 0.000 claims description 37
- 238000005260 corrosion Methods 0.000 claims description 32
- 230000007797 corrosion Effects 0.000 claims description 32
- 239000003112 inhibitor Substances 0.000 claims description 32
- 239000000377 silicon dioxide Substances 0.000 claims description 31
- -1 phosphate ester Chemical class 0.000 claims description 27
- 239000000758 substrate Substances 0.000 claims description 27
- CETPSERCERDGAM-UHFFFAOYSA-N ceric oxide Chemical compound O=[Ce]=O CETPSERCERDGAM-UHFFFAOYSA-N 0.000 claims description 22
- 229910000422 cerium(IV) oxide Inorganic materials 0.000 claims description 22
- DHMQDGOQFOQNFH-UHFFFAOYSA-N Glycine Chemical compound NCC(O)=O DHMQDGOQFOQNFH-UHFFFAOYSA-N 0.000 claims description 16
- 239000004094 surface-active agent Substances 0.000 claims description 16
- 229910019142 PO4 Inorganic materials 0.000 claims description 15
- 239000002585 base Substances 0.000 claims description 14
- 239000010452 phosphate Substances 0.000 claims description 14
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical group OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 claims description 12
- 239000004065 semiconductor Substances 0.000 claims description 12
- 125000000129 anionic group Chemical group 0.000 claims description 11
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical group [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 claims description 9
- 239000012964 benzotriazole Substances 0.000 claims description 9
- 125000002091 cationic group Chemical group 0.000 claims description 9
- 230000007935 neutral effect Effects 0.000 claims description 9
- UEZVMMHDMIWARA-UHFFFAOYSA-M phosphonate Chemical compound [O-]P(=O)=O UEZVMMHDMIWARA-UHFFFAOYSA-M 0.000 claims description 9
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 8
- OFOBLEOULBTSOW-UHFFFAOYSA-N Malonic acid Chemical compound OC(=O)CC(O)=O OFOBLEOULBTSOW-UHFFFAOYSA-N 0.000 claims description 8
- 229960002449 glycine Drugs 0.000 claims description 8
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 claims description 8
- UHGIMQLJWRAPLT-UHFFFAOYSA-N octadecyl dihydrogen phosphate Chemical compound CCCCCCCCCCCCCCCCCCOP(O)(O)=O UHGIMQLJWRAPLT-UHFFFAOYSA-N 0.000 claims description 8
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 claims description 7
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 claims description 7
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 claims description 6
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 claims description 6
- 239000004471 Glycine Substances 0.000 claims description 6
- WMFOQBRAJBCJND-UHFFFAOYSA-M Lithium hydroxide Chemical compound [Li+].[OH-] WMFOQBRAJBCJND-UHFFFAOYSA-M 0.000 claims description 6
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 claims description 6
- KAESVJOAVNADME-UHFFFAOYSA-N Pyrrole Chemical compound C=1C=CNC=1 KAESVJOAVNADME-UHFFFAOYSA-N 0.000 claims description 6
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 claims description 6
- 235000001014 amino acid Nutrition 0.000 claims description 6
- 150000001413 amino acids Chemical class 0.000 claims description 6
- QRUDEWIWKLJBPS-UHFFFAOYSA-N benzotriazole Chemical compound C1=CC=C2N[N][N]C2=C1 QRUDEWIWKLJBPS-UHFFFAOYSA-N 0.000 claims description 6
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 claims description 6
- HNDVDQJCIGZPNO-UHFFFAOYSA-N histidine Natural products OC(=O)C(N)CC1=CN=CN1 HNDVDQJCIGZPNO-UHFFFAOYSA-N 0.000 claims description 6
- 235000014304 histidine Nutrition 0.000 claims description 6
- RAXXELZNTBOGNW-UHFFFAOYSA-N imidazole Natural products C1=CNC=N1 RAXXELZNTBOGNW-UHFFFAOYSA-N 0.000 claims description 6
- VDZOOKBUILJEDG-UHFFFAOYSA-M tetrabutylammonium hydroxide Chemical compound [OH-].CCCC[N+](CCCC)(CCCC)CCCC VDZOOKBUILJEDG-UHFFFAOYSA-M 0.000 claims description 6
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 5
- HNDVDQJCIGZPNO-YFKPBYRVSA-N L-histidine Chemical compound OC(=O)[C@@H](N)CC1=CN=CN1 HNDVDQJCIGZPNO-YFKPBYRVSA-N 0.000 claims description 5
- SEQKRHFRPICQDD-UHFFFAOYSA-N Tricine Natural products OCC(CO)(CO)[NH2+]CC([O-])=O SEQKRHFRPICQDD-UHFFFAOYSA-N 0.000 claims description 5
- MEESPVWIOBCLJW-KTKRTIGZSA-N [(z)-octadec-9-enyl] dihydrogen phosphate Chemical compound CCCCCCCC\C=C/CCCCCCCCOP(O)(O)=O MEESPVWIOBCLJW-KTKRTIGZSA-N 0.000 claims description 5
- 125000003178 carboxy group Chemical group [H]OC(*)=O 0.000 claims description 5
- LNTZHXQMPUKVNX-UHFFFAOYSA-N docosyl dihydrogen phosphate Chemical compound CCCCCCCCCCCCCCCCCCCCCCOP(O)(O)=O LNTZHXQMPUKVNX-UHFFFAOYSA-N 0.000 claims description 5
- PHNWGDTYCJFUGZ-UHFFFAOYSA-L hexyl phosphate Chemical compound CCCCCCOP([O-])([O-])=O PHNWGDTYCJFUGZ-UHFFFAOYSA-L 0.000 claims description 5
- 150000002430 hydrocarbons Chemical group 0.000 claims description 5
- WBIQQQGBSDOWNP-UHFFFAOYSA-N 2-dodecylbenzenesulfonic acid Chemical compound CCCCCCCCCCCCC1=CC=CC=C1S(O)(=O)=O WBIQQQGBSDOWNP-UHFFFAOYSA-N 0.000 claims description 4
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 claims description 4
- CMGDVUCDZOBDNL-UHFFFAOYSA-N 4-methyl-2h-benzotriazole Chemical compound CC1=CC=CC2=NNN=C12 CMGDVUCDZOBDNL-UHFFFAOYSA-N 0.000 claims description 4
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 claims description 4
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 claims description 4
- KFSLWBXXFJQRDL-UHFFFAOYSA-N Peracetic acid Chemical compound CC(=O)OO KFSLWBXXFJQRDL-UHFFFAOYSA-N 0.000 claims description 4
- LSNNMFCWUKXFEE-UHFFFAOYSA-N Sulfurous acid Chemical compound OS(O)=O LSNNMFCWUKXFEE-UHFFFAOYSA-N 0.000 claims description 4
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 claims description 4
- WNLRTRBMVRJNCN-UHFFFAOYSA-N adipic acid Chemical compound OC(=O)CCCCC(O)=O WNLRTRBMVRJNCN-UHFFFAOYSA-N 0.000 claims description 4
- XBDQKXXYIPTUBI-UHFFFAOYSA-N dimethylselenoniopropionate Natural products CCC(O)=O XBDQKXXYIPTUBI-UHFFFAOYSA-N 0.000 claims description 4
- 229940060296 dodecylbenzenesulfonic acid Drugs 0.000 claims description 4
- 235000019253 formic acid Nutrition 0.000 claims description 4
- JVTAAEKCZFNVCJ-UHFFFAOYSA-N lactic acid Chemical compound CC(O)C(O)=O JVTAAEKCZFNVCJ-UHFFFAOYSA-N 0.000 claims description 4
- 229910052757 nitrogen Inorganic materials 0.000 claims description 4
- LCPDWSOZIOUXRV-UHFFFAOYSA-N phenoxyacetic acid Chemical compound OC(=O)COC1=CC=CC=C1 LCPDWSOZIOUXRV-UHFFFAOYSA-N 0.000 claims description 4
- SCVFZCLFOSHCOH-UHFFFAOYSA-M potassium acetate Chemical compound [K+].CC([O-])=O SCVFZCLFOSHCOH-UHFFFAOYSA-M 0.000 claims description 4
- LOTVQXNRIAEYCG-UHFFFAOYSA-N 3-hydroxy-2-(hydroxymethyl)-2-[hydroxymethyl(methyl)amino]propanoic acid Chemical compound OCN(C)C(CO)(CO)C(O)=O LOTVQXNRIAEYCG-UHFFFAOYSA-N 0.000 claims description 3
- RQINQJTUMGQYOB-UHFFFAOYSA-N 6-methylheptyl dihydrogen phosphate Chemical compound CC(C)CCCCCOP(O)(O)=O RQINQJTUMGQYOB-UHFFFAOYSA-N 0.000 claims description 3
- 239000004475 Arginine Substances 0.000 claims description 3
- WHUUTDBJXJRKMK-UHFFFAOYSA-N Glutamic acid Natural products OC(=O)C(N)CCC(O)=O WHUUTDBJXJRKMK-UHFFFAOYSA-N 0.000 claims description 3
- ONIBWKKTOPOVIA-BYPYZUCNSA-N L-Proline Chemical compound OC(=O)[C@@H]1CCCN1 ONIBWKKTOPOVIA-BYPYZUCNSA-N 0.000 claims description 3
- QNAYBMKLOCPYGJ-REOHCLBHSA-N L-alanine Chemical compound C[C@H](N)C(O)=O QNAYBMKLOCPYGJ-REOHCLBHSA-N 0.000 claims description 3
- ODKSFYDXXFIFQN-BYPYZUCNSA-P L-argininium(2+) Chemical compound NC(=[NH2+])NCCC[C@H]([NH3+])C(O)=O ODKSFYDXXFIFQN-BYPYZUCNSA-P 0.000 claims description 3
- CKLJMWTZIZZHCS-REOHCLBHSA-N L-aspartic acid Chemical compound OC(=O)[C@@H](N)CC(O)=O CKLJMWTZIZZHCS-REOHCLBHSA-N 0.000 claims description 3
- WHUUTDBJXJRKMK-VKHMYHEASA-N L-glutamic acid Chemical compound OC(=O)[C@@H](N)CCC(O)=O WHUUTDBJXJRKMK-VKHMYHEASA-N 0.000 claims description 3
- ZDXPYRJPNDTMRX-VKHMYHEASA-N L-glutamine Chemical compound OC(=O)[C@@H](N)CCC(N)=O ZDXPYRJPNDTMRX-VKHMYHEASA-N 0.000 claims description 3
- KDXKERNSBIXSRK-YFKPBYRVSA-N L-lysine Chemical compound NCCCC[C@H](N)C(O)=O KDXKERNSBIXSRK-YFKPBYRVSA-N 0.000 claims description 3
- COLNVLDHVKWLRT-QMMMGPOBSA-N L-phenylalanine Chemical compound OC(=O)[C@@H](N)CC1=CC=CC=C1 COLNVLDHVKWLRT-QMMMGPOBSA-N 0.000 claims description 3
- OUYCCCASQSFEME-QMMMGPOBSA-N L-tyrosine Chemical compound OC(=O)[C@@H](N)CC1=CC=C(O)C=C1 OUYCCCASQSFEME-QMMMGPOBSA-N 0.000 claims description 3
- KZSNJWFQEVHDMF-BYPYZUCNSA-N L-valine Chemical compound CC(C)[C@H](N)C(O)=O KZSNJWFQEVHDMF-BYPYZUCNSA-N 0.000 claims description 3
- KDXKERNSBIXSRK-UHFFFAOYSA-N Lysine Natural products NCCCCC(N)C(O)=O KDXKERNSBIXSRK-UHFFFAOYSA-N 0.000 claims description 3
- 239000004472 Lysine Substances 0.000 claims description 3
- FSVCELGFZIQNCK-UHFFFAOYSA-N N,N-bis(2-hydroxyethyl)glycine Chemical compound OCCN(CCO)CC(O)=O FSVCELGFZIQNCK-UHFFFAOYSA-N 0.000 claims description 3
- ONIBWKKTOPOVIA-UHFFFAOYSA-N Proline Natural products OC(=O)C1CCCN1 ONIBWKKTOPOVIA-UHFFFAOYSA-N 0.000 claims description 3
- HVWGGPRWKSHASF-UHFFFAOYSA-N Sulfuric acid, monooctadecyl ester Chemical compound CCCCCCCCCCCCCCCCCCOS(O)(=O)=O HVWGGPRWKSHASF-UHFFFAOYSA-N 0.000 claims description 3
- KZSNJWFQEVHDMF-UHFFFAOYSA-N Valine Natural products CC(C)C(N)C(O)=O KZSNJWFQEVHDMF-UHFFFAOYSA-N 0.000 claims description 3
- 235000004279 alanine Nutrition 0.000 claims description 3
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 claims description 3
- 229910000147 aluminium phosphate Inorganic materials 0.000 claims description 3
- ODKSFYDXXFIFQN-UHFFFAOYSA-N arginine Natural products OC(=O)C(N)CCCNC(N)=N ODKSFYDXXFIFQN-UHFFFAOYSA-N 0.000 claims description 3
- 235000009697 arginine Nutrition 0.000 claims description 3
- 235000003704 aspartic acid Nutrition 0.000 claims description 3
- OQFSQFPPLPISGP-UHFFFAOYSA-N beta-carboxyaspartic acid Natural products OC(=O)C(N)C(C(O)=O)C(O)=O OQFSQFPPLPISGP-UHFFFAOYSA-N 0.000 claims description 3
- 239000002019 doping agent Substances 0.000 claims description 3
- 235000013922 glutamic acid Nutrition 0.000 claims description 3
- 239000004220 glutamic acid Substances 0.000 claims description 3
- ZDXPYRJPNDTMRX-UHFFFAOYSA-N glutamine Natural products OC(=O)C(N)CCC(N)=O ZDXPYRJPNDTMRX-UHFFFAOYSA-N 0.000 claims description 3
- 235000004554 glutamine Nutrition 0.000 claims description 3
- 235000018977 lysine Nutrition 0.000 claims description 3
- 235000008729 phenylalanine Nutrition 0.000 claims description 3
- COLNVLDHVKWLRT-UHFFFAOYSA-N phenylalanine Natural products OC(=O)C(N)CC1=CC=CC=C1 COLNVLDHVKWLRT-UHFFFAOYSA-N 0.000 claims description 3
- 235000013930 proline Nutrition 0.000 claims description 3
- KRIXEEBVZRZHOS-UHFFFAOYSA-N tetradecyl dihydrogen phosphate Chemical compound CCCCCCCCCCCCCCOP(O)(O)=O KRIXEEBVZRZHOS-UHFFFAOYSA-N 0.000 claims description 3
- 150000003852 triazoles Chemical class 0.000 claims description 3
- 235000002374 tyrosine Nutrition 0.000 claims description 3
- OUYCCCASQSFEME-UHFFFAOYSA-N tyrosine Natural products OC(=O)C(N)CC1=CC=C(O)C=C1 OUYCCCASQSFEME-UHFFFAOYSA-N 0.000 claims description 3
- 235000014393 valine Nutrition 0.000 claims description 3
- 239000004474 valine Substances 0.000 claims description 3
- BJEPYKJPYRNKOW-REOHCLBHSA-N (S)-malic acid Chemical compound OC(=O)[C@@H](O)CC(O)=O BJEPYKJPYRNKOW-REOHCLBHSA-N 0.000 claims description 2
- RBNPOMFGQQGHHO-UHFFFAOYSA-N -2,3-Dihydroxypropanoic acid Natural products OCC(O)C(O)=O RBNPOMFGQQGHHO-UHFFFAOYSA-N 0.000 claims description 2
- HZAXFHJVJLSVMW-UHFFFAOYSA-N 2-Aminoethan-1-ol Chemical compound NCCO HZAXFHJVJLSVMW-UHFFFAOYSA-N 0.000 claims description 2
- MFGOFGRYDNHJTA-UHFFFAOYSA-N 2-amino-1-(2-fluorophenyl)ethanol Chemical compound NCC(O)C1=CC=CC=C1F MFGOFGRYDNHJTA-UHFFFAOYSA-N 0.000 claims description 2
- MSWZFWKMSRAUBD-IVMDWMLBSA-N 2-amino-2-deoxy-D-glucopyranose Chemical compound N[C@H]1C(O)O[C@H](CO)[C@@H](O)[C@@H]1O MSWZFWKMSRAUBD-IVMDWMLBSA-N 0.000 claims description 2
- SZHQPBJEOCHCKM-UHFFFAOYSA-N 2-phosphonobutane-1,2,4-tricarboxylic acid Chemical compound OC(=O)CCC(P(O)(O)=O)(C(O)=O)CC(O)=O SZHQPBJEOCHCKM-UHFFFAOYSA-N 0.000 claims description 2
- KLSJWNVTNUYHDU-UHFFFAOYSA-N Amitrole Chemical compound NC1=NC=NN1 KLSJWNVTNUYHDU-UHFFFAOYSA-N 0.000 claims description 2
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 claims description 2
- RBNPOMFGQQGHHO-UWTATZPHSA-N D-glyceric acid Chemical compound OC[C@@H](O)C(O)=O RBNPOMFGQQGHHO-UWTATZPHSA-N 0.000 claims description 2
- QEVGZEDELICMKH-UHFFFAOYSA-N Diglycolic acid Chemical compound OC(=O)COCC(O)=O QEVGZEDELICMKH-UHFFFAOYSA-N 0.000 claims description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 2
- WTKZEGDFNFYCGP-UHFFFAOYSA-N Pyrazole Chemical compound C=1C=NNC=1 WTKZEGDFNFYCGP-UHFFFAOYSA-N 0.000 claims description 2
- 239000002262 Schiff base Substances 0.000 claims description 2
- 150000004753 Schiff bases Chemical class 0.000 claims description 2
- KDYFGRWQOYBRFD-UHFFFAOYSA-N Succinic acid Natural products OC(=O)CCC(O)=O KDYFGRWQOYBRFD-UHFFFAOYSA-N 0.000 claims description 2
- GSEJCLTVZPLZKY-UHFFFAOYSA-N Triethanolamine Chemical compound OCCN(CCO)CCO GSEJCLTVZPLZKY-UHFFFAOYSA-N 0.000 claims description 2
- YDONNITUKPKTIG-UHFFFAOYSA-N [Nitrilotris(methylene)]trisphosphonic acid Chemical compound OP(O)(=O)CN(CP(O)(O)=O)CP(O)(O)=O YDONNITUKPKTIG-UHFFFAOYSA-N 0.000 claims description 2
- 239000001361 adipic acid Substances 0.000 claims description 2
- 235000011037 adipic acid Nutrition 0.000 claims description 2
- BJEPYKJPYRNKOW-UHFFFAOYSA-N alpha-hydroxysuccinic acid Natural products OC(=O)C(O)CC(O)=O BJEPYKJPYRNKOW-UHFFFAOYSA-N 0.000 claims description 2
- 239000000908 ammonium hydroxide Substances 0.000 claims description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 2
- MSWZFWKMSRAUBD-UHFFFAOYSA-N beta-D-galactosamine Natural products NC1C(O)OC(CO)C(O)C1O MSWZFWKMSRAUBD-UHFFFAOYSA-N 0.000 claims description 2
- KDYFGRWQOYBRFD-NUQCWPJISA-N butanedioic acid Chemical compound O[14C](=O)CC[14C](O)=O KDYFGRWQOYBRFD-NUQCWPJISA-N 0.000 claims description 2
- HUCVOHYBFXVBRW-UHFFFAOYSA-M caesium hydroxide Inorganic materials [OH-].[Cs+] HUCVOHYBFXVBRW-UHFFFAOYSA-M 0.000 claims description 2
- 229910052799 carbon Inorganic materials 0.000 claims description 2
- 235000015165 citric acid Nutrition 0.000 claims description 2
- ZBCBWPMODOFKDW-UHFFFAOYSA-N diethanolamine Chemical compound OCCNCCO ZBCBWPMODOFKDW-UHFFFAOYSA-N 0.000 claims description 2
- TVACALAUIQMRDF-UHFFFAOYSA-N dodecyl dihydrogen phosphate Chemical compound CCCCCCCCCCCCOP(O)(O)=O TVACALAUIQMRDF-UHFFFAOYSA-N 0.000 claims description 2
- NVVZQXQBYZPMLJ-UHFFFAOYSA-N formaldehyde;naphthalene-1-sulfonic acid Chemical compound O=C.C1=CC=C2C(S(=O)(=O)O)=CC=CC2=C1 NVVZQXQBYZPMLJ-UHFFFAOYSA-N 0.000 claims description 2
- 229960002442 glucosamine Drugs 0.000 claims description 2
- 235000013905 glycine and its sodium salt Nutrition 0.000 claims description 2
- 229910052739 hydrogen Inorganic materials 0.000 claims description 2
- 239000001257 hydrogen Substances 0.000 claims description 2
- 150000003949 imides Chemical class 0.000 claims description 2
- ZLTPDFXIESTBQG-UHFFFAOYSA-N isothiazole Chemical compound C=1C=NSC=1 ZLTPDFXIESTBQG-UHFFFAOYSA-N 0.000 claims description 2
- 239000004310 lactic acid Substances 0.000 claims description 2
- 235000014655 lactic acid Nutrition 0.000 claims description 2
- 239000001630 malic acid Substances 0.000 claims description 2
- 235000011090 malic acid Nutrition 0.000 claims description 2
- 229910017604 nitric acid Inorganic materials 0.000 claims description 2
- 235000006408 oxalic acid Nutrition 0.000 claims description 2
- 229910052760 oxygen Inorganic materials 0.000 claims description 2
- 239000001301 oxygen Substances 0.000 claims description 2
- KHIWWQKSHDUIBK-UHFFFAOYSA-N periodic acid Chemical compound OI(=O)(=O)=O KHIWWQKSHDUIBK-UHFFFAOYSA-N 0.000 claims description 2
- 235000011056 potassium acetate Nutrition 0.000 claims description 2
- 235000019260 propionic acid Nutrition 0.000 claims description 2
- IUVKMZGDUIUOCP-BTNSXGMBSA-N quinbolone Chemical compound O([C@H]1CC[C@H]2[C@H]3[C@@H]([C@]4(C=CC(=O)C=C4CC3)C)CC[C@@]21C)C1=CCCC1 IUVKMZGDUIUOCP-BTNSXGMBSA-N 0.000 claims description 2
- 150000003536 tetrazoles Chemical class 0.000 claims description 2
- UMGDCJDMYOKAJW-UHFFFAOYSA-N thiourea Chemical compound NC(N)=S UMGDCJDMYOKAJW-UHFFFAOYSA-N 0.000 claims 2
- IMROMDMJAWUWLK-UHFFFAOYSA-N Ethenol Chemical group OC=C IMROMDMJAWUWLK-UHFFFAOYSA-N 0.000 claims 1
- ZCQWOFVYLHDMMC-UHFFFAOYSA-N Oxazole Chemical compound C1=COC=N1 ZCQWOFVYLHDMMC-UHFFFAOYSA-N 0.000 claims 1
- XSQUKJJJFZCRTK-UHFFFAOYSA-N Urea Natural products NC(N)=O XSQUKJJJFZCRTK-UHFFFAOYSA-N 0.000 claims 1
- LFETXMWECUPHJA-UHFFFAOYSA-N methanamine;hydrate Chemical compound O.NC LFETXMWECUPHJA-UHFFFAOYSA-N 0.000 claims 1
- 125000000020 sulfo group Chemical group O=S(=O)([*])O[H] 0.000 claims 1
- 239000004215 Carbon black (E152) Substances 0.000 abstract 1
- 150000007942 carboxylates Chemical group 0.000 abstract 1
- 239000003795 chemical substances by application Substances 0.000 abstract 1
- 125000002467 phosphate group Chemical group [H]OP(=O)(O[H])O[*] 0.000 abstract 1
- 125000001273 sulfonato group Chemical group [O-]S(*)(=O)=O 0.000 abstract 1
- 235000012431 wafers Nutrition 0.000 description 83
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 45
- 239000010408 film Substances 0.000 description 37
- 230000007547 defect Effects 0.000 description 30
- 239000000463 material Substances 0.000 description 24
- 239000002002 slurry Substances 0.000 description 22
- 125000004432 carbon atom Chemical group C* 0.000 description 20
- 229910052710 silicon Inorganic materials 0.000 description 19
- 239000010703 silicon Substances 0.000 description 17
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 16
- 230000003628 erosive effect Effects 0.000 description 15
- 230000008569 process Effects 0.000 description 15
- 239000008119 colloidal silica Substances 0.000 description 14
- 239000007788 liquid Substances 0.000 description 11
- 238000002955 isolation Methods 0.000 description 10
- 230000006399 behavior Effects 0.000 description 9
- 238000004519 manufacturing process Methods 0.000 description 9
- 239000003002 pH adjusting agent Substances 0.000 description 9
- 235000021317 phosphate Nutrition 0.000 description 9
- 150000001875 compounds Chemical class 0.000 description 7
- 239000003989 dielectric material Substances 0.000 description 7
- 230000000694 effects Effects 0.000 description 7
- 150000002739 metals Chemical class 0.000 description 7
- 150000007524 organic acids Chemical class 0.000 description 7
- VOQMPZXAFLPTMM-UHFFFAOYSA-N 4-(4-chlorophenoxy)piperidine Chemical compound C1=CC(Cl)=CC=C1OC1CCNCC1 VOQMPZXAFLPTMM-UHFFFAOYSA-N 0.000 description 6
- 239000005380 borophosphosilicate glass Substances 0.000 description 6
- 239000010941 cobalt Substances 0.000 description 6
- 229910017052 cobalt Inorganic materials 0.000 description 6
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 6
- 238000000151 deposition Methods 0.000 description 6
- NBIIXXVUZAFLBC-UHFFFAOYSA-K phosphate Chemical compound [O-]P([O-])([O-])=O NBIIXXVUZAFLBC-UHFFFAOYSA-K 0.000 description 6
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 6
- 239000010409 thin film Substances 0.000 description 6
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 6
- 229910052721 tungsten Inorganic materials 0.000 description 6
- 239000010937 tungsten Substances 0.000 description 6
- 150000001565 benzotriazoles Chemical class 0.000 description 5
- 230000008859 change Effects 0.000 description 5
- 238000009472 formulation Methods 0.000 description 5
- 239000004615 ingredient Substances 0.000 description 5
- 229910044991 metal oxide Inorganic materials 0.000 description 5
- 239000002245 particle Substances 0.000 description 5
- 239000011295 pitch Substances 0.000 description 5
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 5
- 229920005591 polysilicon Polymers 0.000 description 5
- 150000003839 salts Chemical class 0.000 description 5
- 239000010936 titanium Substances 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 230000010354 integration Effects 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 238000012360 testing method Methods 0.000 description 4
- 229910052719 titanium Inorganic materials 0.000 description 4
- GQHTUMJGOHRCHB-UHFFFAOYSA-N 2,3,4,6,7,8,9,10-octahydropyrimido[1,2-a]azepine Chemical compound C1CCCCN2CCCN=C21 GQHTUMJGOHRCHB-UHFFFAOYSA-N 0.000 description 3
- LJKDOMVGKKPJBH-UHFFFAOYSA-N 2-ethylhexyl dihydrogen phosphate Chemical compound CCCCC(CC)COP(O)(O)=O LJKDOMVGKKPJBH-UHFFFAOYSA-N 0.000 description 3
- 230000032683 aging Effects 0.000 description 3
- 150000001412 amines Chemical class 0.000 description 3
- 125000003118 aryl group Chemical group 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- 238000005253 cladding Methods 0.000 description 3
- 239000012141 concentrate Substances 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 238000002474 experimental method Methods 0.000 description 3
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 3
- 239000012528 membrane Substances 0.000 description 3
- 229920006395 saturated elastomer Polymers 0.000 description 3
- 239000000243 solution Substances 0.000 description 3
- 230000003068 static effect Effects 0.000 description 3
- 125000000542 sulfonic acid group Chemical group 0.000 description 3
- 230000003746 surface roughness Effects 0.000 description 3
- NLXLAEXVIDQMFP-UHFFFAOYSA-N Ammonia chloride Chemical compound [NH4+].[Cl-] NLXLAEXVIDQMFP-UHFFFAOYSA-N 0.000 description 2
- LSNNMFCWUKXFEE-UHFFFAOYSA-M Bisulfite Chemical compound OS([O-])=O LSNNMFCWUKXFEE-UHFFFAOYSA-M 0.000 description 2
- IOVCWXUNBOPUCH-UHFFFAOYSA-N Nitrous acid Chemical group ON=O IOVCWXUNBOPUCH-UHFFFAOYSA-N 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- 230000002378 acidificating effect Effects 0.000 description 2
- 125000000217 alkyl group Chemical group 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 125000003277 amino group Chemical group 0.000 description 2
- 239000003945 anionic surfactant Substances 0.000 description 2
- 238000003491 array Methods 0.000 description 2
- 150000003851 azoles Chemical class 0.000 description 2
- 125000005586 carbonic acid group Chemical group 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 125000003438 dodecyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 238000002296 dynamic light scattering Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 150000004676 glycans Chemical class 0.000 description 2
- 125000001183 hydrocarbyl group Chemical group 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- FTMKAMVLFVRZQX-UHFFFAOYSA-N octadecylphosphonic acid Chemical compound CCCCCCCCCCCCCCCCCCP(O)(O)=O FTMKAMVLFVRZQX-UHFFFAOYSA-N 0.000 description 2
- WRKCIHRWQZQBOL-UHFFFAOYSA-N octyl dihydrogen phosphate Chemical compound CCCCCCCCOP(O)(O)=O WRKCIHRWQZQBOL-UHFFFAOYSA-N 0.000 description 2
- 238000005580 one pot reaction Methods 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 229920001282 polysaccharide Polymers 0.000 description 2
- 239000005017 polysaccharide Substances 0.000 description 2
- ISEUFVQQFVOBCY-UHFFFAOYSA-N prometon Chemical compound COC1=NC(NC(C)C)=NC(NC(C)C)=N1 ISEUFVQQFVOBCY-UHFFFAOYSA-N 0.000 description 2
- 150000003254 radicals Chemical class 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 2
- 238000012876 topography Methods 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- VPTUPAVOBUEXMZ-UHFFFAOYSA-N (1-hydroxy-2-phosphonoethyl)phosphonic acid Chemical compound OP(=O)(O)C(O)CP(O)(O)=O VPTUPAVOBUEXMZ-UHFFFAOYSA-N 0.000 description 1
- LNAZSHAWQACDHT-XIYTZBAFSA-N (2r,3r,4s,5r,6s)-4,5-dimethoxy-2-(methoxymethyl)-3-[(2s,3r,4s,5r,6r)-3,4,5-trimethoxy-6-(methoxymethyl)oxan-2-yl]oxy-6-[(2r,3r,4s,5r,6r)-4,5,6-trimethoxy-2-(methoxymethyl)oxan-3-yl]oxyoxane Chemical compound CO[C@@H]1[C@@H](OC)[C@H](OC)[C@@H](COC)O[C@H]1O[C@H]1[C@H](OC)[C@@H](OC)[C@H](O[C@H]2[C@@H]([C@@H](OC)[C@H](OC)O[C@@H]2COC)OC)O[C@@H]1COC LNAZSHAWQACDHT-XIYTZBAFSA-N 0.000 description 1
- SGUVLZREKBPKCE-UHFFFAOYSA-N 1,5-diazabicyclo[4.3.0]-non-5-ene Chemical compound C1CCN=C2CCCN21 SGUVLZREKBPKCE-UHFFFAOYSA-N 0.000 description 1
- ASOKPJOREAFHNY-UHFFFAOYSA-N 1-Hydroxybenzotriazole Chemical compound C1=CC=C2N(O)N=NC2=C1 ASOKPJOREAFHNY-UHFFFAOYSA-N 0.000 description 1
- CQMDBLRKZWOZIW-UHFFFAOYSA-N 2-Methyl-2-phenyl-undecane Chemical compound CC(C)(C)CC(C)(C)C1=CC=CC=C1 CQMDBLRKZWOZIW-UHFFFAOYSA-N 0.000 description 1
- YTZPUTADNGREHA-UHFFFAOYSA-N 2h-benzo[e]benzotriazole Chemical compound C1=CC2=CC=CC=C2C2=NNN=C21 YTZPUTADNGREHA-UHFFFAOYSA-N 0.000 description 1
- GUOVBFFLXKJFEE-UHFFFAOYSA-N 2h-benzotriazole-5-carboxylic acid Chemical compound C1=C(C(=O)O)C=CC2=NNN=C21 GUOVBFFLXKJFEE-UHFFFAOYSA-N 0.000 description 1
- QGCDUBGOXJTXIU-UHFFFAOYSA-N 3-(2h-benzotriazol-4-yl)propane-1,1-diol Chemical compound OC(O)CCC1=CC=CC2=NNN=C12 QGCDUBGOXJTXIU-UHFFFAOYSA-N 0.000 description 1
- PHFUGYFADPADDV-UHFFFAOYSA-N 4-(2-methylpropyl)-2h-benzotriazole Chemical compound CC(C)CC1=CC=CC2=NNN=C12 PHFUGYFADPADDV-UHFFFAOYSA-N 0.000 description 1
- DNJANJSHTMOQOV-UHFFFAOYSA-N 4-bromo-2h-benzotriazole Chemical compound BrC1=CC=CC2=C1N=NN2 DNJANJSHTMOQOV-UHFFFAOYSA-N 0.000 description 1
- IPIVUPVIFPKFTG-UHFFFAOYSA-N 4-butyl-2h-benzotriazole Chemical compound CCCCC1=CC=CC2=C1N=NN2 IPIVUPVIFPKFTG-UHFFFAOYSA-N 0.000 description 1
- NGKNMHFWZMHABQ-UHFFFAOYSA-N 4-chloro-2h-benzotriazole Chemical compound ClC1=CC=CC2=NNN=C12 NGKNMHFWZMHABQ-UHFFFAOYSA-N 0.000 description 1
- QRHDSDJIMDCCKE-UHFFFAOYSA-N 4-ethyl-2h-benzotriazole Chemical compound CCC1=CC=CC2=C1N=NN2 QRHDSDJIMDCCKE-UHFFFAOYSA-N 0.000 description 1
- ALDDXGSQUCGTDT-UHFFFAOYSA-N 4-fluoro-2h-benzotriazole Chemical compound FC1=CC=CC2=NNN=C12 ALDDXGSQUCGTDT-UHFFFAOYSA-N 0.000 description 1
- UTMDJGPRCLQPBT-UHFFFAOYSA-N 4-nitro-1h-1,2,3-benzotriazole Chemical compound [O-][N+](=O)C1=CC=CC2=NNN=C12 UTMDJGPRCLQPBT-UHFFFAOYSA-N 0.000 description 1
- TVOIATIUZOHKFY-UHFFFAOYSA-N 4-pentyl-2h-benzotriazole Chemical compound CCCCCC1=CC=CC2=NNN=C12 TVOIATIUZOHKFY-UHFFFAOYSA-N 0.000 description 1
- KUVZHAJTEJICOW-UHFFFAOYSA-N 4-propan-2-yl-2h-benzotriazole Chemical compound CC(C)C1=CC=CC2=NNN=C12 KUVZHAJTEJICOW-UHFFFAOYSA-N 0.000 description 1
- VXDLXVDZTJOKAO-UHFFFAOYSA-N 4-propyl-2h-benzotriazole Chemical compound CCCC1=CC=CC2=C1N=NN2 VXDLXVDZTJOKAO-UHFFFAOYSA-N 0.000 description 1
- YQTFAYALFNXJFA-UHFFFAOYSA-N 5-(2,4-dimethylpentan-2-yl)-2H-benzotriazole Chemical compound CC(C)CC(C)(C)c1ccc2[nH]nnc2c1 YQTFAYALFNXJFA-UHFFFAOYSA-N 0.000 description 1
- DYIFWSZJRUOXBY-UHFFFAOYSA-N 5-(2-methylbutan-2-yl)-2h-benzotriazole Chemical compound C1=C(C(C)(C)CC)C=CC2=NNN=C21 DYIFWSZJRUOXBY-UHFFFAOYSA-N 0.000 description 1
- JQSSWPIJSFUBKX-UHFFFAOYSA-N 5-(2-methylpropyl)-2h-benzotriazole Chemical compound C1=C(CC(C)C)C=CC2=NNN=C21 JQSSWPIJSFUBKX-UHFFFAOYSA-N 0.000 description 1
- YZTYEGCWRPJWEE-UHFFFAOYSA-N 5-(benzotriazol-2-yl)pentan-1-amine Chemical compound C1=CC=CC2=NN(CCCCCN)N=C21 YZTYEGCWRPJWEE-UHFFFAOYSA-N 0.000 description 1
- BQCIJWPKDPZNHD-UHFFFAOYSA-N 5-bromo-2h-benzotriazole Chemical compound C1=C(Br)C=CC2=NNN=C21 BQCIJWPKDPZNHD-UHFFFAOYSA-N 0.000 description 1
- PZBQVZFITSVHAW-UHFFFAOYSA-N 5-chloro-2h-benzotriazole Chemical compound C1=C(Cl)C=CC2=NNN=C21 PZBQVZFITSVHAW-UHFFFAOYSA-N 0.000 description 1
- ZWTWLIOPZJFEOO-UHFFFAOYSA-N 5-ethyl-2h-benzotriazole Chemical compound C1=C(CC)C=CC2=NNN=C21 ZWTWLIOPZJFEOO-UHFFFAOYSA-N 0.000 description 1
- SYGGDXKMRDPIKQ-UHFFFAOYSA-N 5-fluoro-2h-benzotriazole Chemical compound C1=C(F)C=CC2=NNN=C21 SYGGDXKMRDPIKQ-UHFFFAOYSA-N 0.000 description 1
- GAHAURRLKFPBCQ-UHFFFAOYSA-N 5-hexyl-2h-benzotriazole Chemical compound CCCCCCC1=CC=C2NN=NC2=C1 GAHAURRLKFPBCQ-UHFFFAOYSA-N 0.000 description 1
- SUPSFAUIWDRKKZ-UHFFFAOYSA-N 5-methoxy-2h-benzotriazole Chemical compound C1=C(OC)C=CC2=NNN=C21 SUPSFAUIWDRKKZ-UHFFFAOYSA-N 0.000 description 1
- LRUDIIUSNGCQKF-UHFFFAOYSA-N 5-methyl-1H-benzotriazole Chemical compound C1=C(C)C=CC2=NNN=C21 LRUDIIUSNGCQKF-UHFFFAOYSA-N 0.000 description 1
- AOCDQWRMYHJTMY-UHFFFAOYSA-N 5-nitro-2h-benzotriazole Chemical compound C1=C([N+](=O)[O-])C=CC2=NNN=C21 AOCDQWRMYHJTMY-UHFFFAOYSA-N 0.000 description 1
- CEKGSUMCMSBKNQ-UHFFFAOYSA-N 5-octyl-2h-benzotriazole Chemical compound C1=C(CCCCCCCC)C=CC2=NNN=C21 CEKGSUMCMSBKNQ-UHFFFAOYSA-N 0.000 description 1
- KAGBXLIGSMIYGF-UHFFFAOYSA-N 5-pentyl-2h-benzotriazole Chemical compound C1=C(CCCCC)C=CC2=NNN=C21 KAGBXLIGSMIYGF-UHFFFAOYSA-N 0.000 description 1
- WXSBVEKBZGNSDY-UHFFFAOYSA-N 5-phenyl-2h-benzotriazole Chemical compound C1=CC=CC=C1C1=CC2=NNN=C2C=C1 WXSBVEKBZGNSDY-UHFFFAOYSA-N 0.000 description 1
- MBSXHYDCWYCSME-UHFFFAOYSA-N 5-propan-2-yl-2h-benzotriazole Chemical compound C1=C(C(C)C)C=CC2=NNN=C21 MBSXHYDCWYCSME-UHFFFAOYSA-N 0.000 description 1
- CCBSHAWEHIDTIU-UHFFFAOYSA-N 5-propyl-2h-benzotriazole Chemical compound CCCC1=CC=C2NN=NC2=C1 CCBSHAWEHIDTIU-UHFFFAOYSA-N 0.000 description 1
- JLGADKXBPJXIOQ-UHFFFAOYSA-N 5-tert-butyl-2h-benzotriazole Chemical compound C1=C(C(C)(C)C)C=CC2=NNN=C21 JLGADKXBPJXIOQ-UHFFFAOYSA-N 0.000 description 1
- 229920002134 Carboxymethyl cellulose Polymers 0.000 description 1
- 229910052684 Cerium Inorganic materials 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 102100033270 Cyclin-dependent kinase inhibitor 1 Human genes 0.000 description 1
- 239000001856 Ethyl cellulose Substances 0.000 description 1
- ZZSNKZQZMQGXPY-UHFFFAOYSA-N Ethyl cellulose Chemical compound CCOCC1OC(OC)C(OCC)C(OCC)C1OC1C(O)C(O)C(OC)C(CO)O1 ZZSNKZQZMQGXPY-UHFFFAOYSA-N 0.000 description 1
- IAYPIBMASNFSPL-UHFFFAOYSA-N Ethylene oxide Chemical compound C1CO1 IAYPIBMASNFSPL-UHFFFAOYSA-N 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- 229920002153 Hydroxypropyl cellulose Polymers 0.000 description 1
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 description 1
- 244000270834 Myristica fragrans Species 0.000 description 1
- 235000009421 Myristica fragrans Nutrition 0.000 description 1
- HSHXDCVZWHOWCS-UHFFFAOYSA-N N'-hexadecylthiophene-2-carbohydrazide Chemical compound CCCCCCCCCCCCCCCCNNC(=O)c1cccs1 HSHXDCVZWHOWCS-UHFFFAOYSA-N 0.000 description 1
- 108091006627 SLC12A9 Proteins 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910020175 SiOH Inorganic materials 0.000 description 1
- UZMAPBJVXOGOFT-UHFFFAOYSA-N Syringetin Natural products COC1=C(O)C(OC)=CC(C2=C(C(=O)C3=C(O)C=C(O)C=C3O2)O)=C1 UZMAPBJVXOGOFT-UHFFFAOYSA-N 0.000 description 1
- 239000007997 Tricine buffer Substances 0.000 description 1
- UMVBXBACMIOFDO-UHFFFAOYSA-N [N].[Si] Chemical compound [N].[Si] UMVBXBACMIOFDO-UHFFFAOYSA-N 0.000 description 1
- 238000002835 absorbance Methods 0.000 description 1
- 239000003929 acidic solution Substances 0.000 description 1
- 150000007513 acids Chemical class 0.000 description 1
- 239000003513 alkali Substances 0.000 description 1
- 229910001508 alkali metal halide Inorganic materials 0.000 description 1
- 150000008045 alkali metal halides Chemical class 0.000 description 1
- 150000008044 alkali metal hydroxides Chemical class 0.000 description 1
- 125000003342 alkenyl group Chemical group 0.000 description 1
- 125000003545 alkoxy group Chemical group 0.000 description 1
- 150000001409 amidines Chemical class 0.000 description 1
- 125000004202 aminomethyl group Chemical group [H]N([H])C([H])([H])* 0.000 description 1
- 235000019270 ammonium chloride Nutrition 0.000 description 1
- 229920006318 anionic polymer Polymers 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 125000002511 behenyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 125000003354 benzotriazolyl group Chemical group N1N=NC2=C1C=CC=C2* 0.000 description 1
- 239000007998 bicine buffer Substances 0.000 description 1
- MRNZSTMRDWRNNR-UHFFFAOYSA-N bis(hexamethylene)triamine Chemical compound NCCCCCCNCCCCCCN MRNZSTMRDWRNNR-UHFFFAOYSA-N 0.000 description 1
- 150000001649 bromium compounds Chemical class 0.000 description 1
- UOKRBSXOBUKDGE-UHFFFAOYSA-N butylphosphonic acid Chemical compound CCCCP(O)(O)=O UOKRBSXOBUKDGE-UHFFFAOYSA-N 0.000 description 1
- 239000001768 carboxy methyl cellulose Substances 0.000 description 1
- 235000010948 carboxy methyl cellulose Nutrition 0.000 description 1
- 239000008112 carboxymethyl-cellulose Substances 0.000 description 1
- 239000000679 carrageenan Substances 0.000 description 1
- 235000010418 carrageenan Nutrition 0.000 description 1
- 229920001525 carrageenan Polymers 0.000 description 1
- 229940113118 carrageenan Drugs 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 229920006317 cationic polymer Polymers 0.000 description 1
- 239000003093 cationic surfactant Substances 0.000 description 1
- UVSNFZAOYHOOJO-UHFFFAOYSA-N chembl1343456 Chemical compound OC1=CC=C2N=NNC2=C1 UVSNFZAOYHOOJO-UHFFFAOYSA-N 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 150000001805 chlorine compounds Chemical class 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 125000006165 cyclic alkyl group Chemical group 0.000 description 1
- SCIGVHCNNXTQDB-UHFFFAOYSA-N decyl dihydrogen phosphate Chemical compound CCCCCCCCCCOP(O)(O)=O SCIGVHCNNXTQDB-UHFFFAOYSA-N 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- KCFYHBSOLOXZIF-UHFFFAOYSA-N dihydrochrysin Natural products COC1=C(O)C(OC)=CC(C2OC3=CC(O)=CC(O)=C3C(=O)C2)=C1 KCFYHBSOLOXZIF-UHFFFAOYSA-N 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 239000003792 electrolyte Substances 0.000 description 1
- 150000002148 esters Chemical class 0.000 description 1
- 235000019325 ethyl cellulose Nutrition 0.000 description 1
- 229920001249 ethyl cellulose Polymers 0.000 description 1
- 229960004667 ethyl cellulose Drugs 0.000 description 1
- HCIQUGMKXQZZMG-UHFFFAOYSA-N ethyl hexyl hydrogen phosphate Chemical compound CCCCCCOP(O)(=O)OCC HCIQUGMKXQZZMG-UHFFFAOYSA-N 0.000 description 1
- 230000001747 exhibiting effect Effects 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 150000002222 fluorine compounds Chemical class 0.000 description 1
- 229920002313 fluoropolymer Polymers 0.000 description 1
- 229910021485 fumed silica Inorganic materials 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 125000005843 halogen group Chemical group 0.000 description 1
- 125000001072 heteroaryl group Chemical group 0.000 description 1
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- GJWAEWLHSDGBGG-UHFFFAOYSA-N hexylphosphonic acid Chemical compound CCCCCCP(O)(O)=O GJWAEWLHSDGBGG-UHFFFAOYSA-N 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 150000004679 hydroxides Chemical class 0.000 description 1
- 239000001863 hydroxypropyl cellulose Substances 0.000 description 1
- 235000010977 hydroxypropyl cellulose Nutrition 0.000 description 1
- 239000001866 hydroxypropyl methyl cellulose Substances 0.000 description 1
- 235000010979 hydroxypropyl methyl cellulose Nutrition 0.000 description 1
- 229920003088 hydroxypropyl methyl cellulose Polymers 0.000 description 1
- 229940071676 hydroxypropylcellulose Drugs 0.000 description 1
- 150000002466 imines Chemical class 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 125000001905 inorganic group Chemical group 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 150000004694 iodide salts Chemical class 0.000 description 1
- 229910052747 lanthanoid Inorganic materials 0.000 description 1
- 239000002075 main ingredient Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 229920000609 methyl cellulose Polymers 0.000 description 1
- 239000001923 methylcellulose Substances 0.000 description 1
- 235000010981 methylcellulose Nutrition 0.000 description 1
- 229960002900 methylcellulose Drugs 0.000 description 1
- 125000001280 n-hexyl group Chemical group C(CCCCC)* 0.000 description 1
- 125000001624 naphthyl group Chemical group 0.000 description 1
- 125000000449 nitro group Chemical group [O-][N+](*)=O 0.000 description 1
- 229910017464 nitrogen compound Inorganic materials 0.000 description 1
- 150000002830 nitrogen compounds Chemical class 0.000 description 1
- GQPLMRYTRLFLPF-UHFFFAOYSA-N nitrous oxide Inorganic materials [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 1
- 239000002736 nonionic surfactant Substances 0.000 description 1
- 239000001702 nutmeg Substances 0.000 description 1
- NJGCRMAPOWGWMW-UHFFFAOYSA-N octylphosphonic acid Chemical compound CCCCCCCCP(O)(O)=O NJGCRMAPOWGWMW-UHFFFAOYSA-N 0.000 description 1
- 125000000962 organic group Chemical group 0.000 description 1
- 239000003960 organic solvent Substances 0.000 description 1
- 150000002916 oxazoles Chemical class 0.000 description 1
- GSWAOPJLTADLTN-UHFFFAOYSA-N oxidanimine Chemical compound [O-][NH3+] GSWAOPJLTADLTN-UHFFFAOYSA-N 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 150000003013 phosphoric acid derivatives Chemical class 0.000 description 1
- 150000003014 phosphoric acid esters Chemical class 0.000 description 1
- 239000004014 plasticizer Substances 0.000 description 1
- 229920000867 polyelectrolyte Polymers 0.000 description 1
- 229920002635 polyurethane Polymers 0.000 description 1
- 239000004814 polyurethane Substances 0.000 description 1
- 229910052700 potassium Inorganic materials 0.000 description 1
- 239000011591 potassium Substances 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 150000003856 quaternary ammonium compounds Chemical class 0.000 description 1
- 229910052761 rare earth metal Inorganic materials 0.000 description 1
- 150000002910 rare earth metals Chemical class 0.000 description 1
- 238000006748 scratching Methods 0.000 description 1
- 230000002393 scratching effect Effects 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 229910052708 sodium Inorganic materials 0.000 description 1
- 239000011734 sodium Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
- 125000004079 stearyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 125000001424 substituent group Chemical group 0.000 description 1
- 150000003460 sulfonic acids Chemical class 0.000 description 1
- 230000002195 synergetic effect Effects 0.000 description 1
- 239000011885 synergistic combination Substances 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- 150000005621 tetraalkylammonium salts Chemical class 0.000 description 1
- 125000003396 thiol group Chemical group [H]S* 0.000 description 1
- 150000003585 thioureas Chemical class 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 229910021654 trace metal Inorganic materials 0.000 description 1
- STCOOQWBFONSKY-UHFFFAOYSA-N tributyl phosphate Chemical compound CCCCOP(=O)(OCCCC)OCCCC STCOOQWBFONSKY-UHFFFAOYSA-N 0.000 description 1
- DQWPFSLDHJDLRL-UHFFFAOYSA-N triethyl phosphate Chemical compound CCOP(=O)(OCC)OCC DQWPFSLDHJDLRL-UHFFFAOYSA-N 0.000 description 1
- 239000000230 xanthan gum Substances 0.000 description 1
- 229920001285 xanthan gum Polymers 0.000 description 1
- 235000010493 xanthan gum Nutrition 0.000 description 1
- 229940082509 xanthan gum Drugs 0.000 description 1
- UHVMMEOXYDMDKI-JKYCWFKZSA-L zinc;1-(5-cyanopyridin-2-yl)-3-[(1s,2s)-2-(6-fluoro-2-hydroxy-3-propanoylphenyl)cyclopropyl]urea;diacetate Chemical compound [Zn+2].CC([O-])=O.CC([O-])=O.CCC(=O)C1=CC=C(F)C([C@H]2[C@H](C2)NC(=O)NC=2N=CC(=CC=2)C#N)=C1O UHVMMEOXYDMDKI-JKYCWFKZSA-L 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09G—POLISHING COMPOSITIONS; SKI WAXES
- C09G1/00—Polishing compositions
- C09G1/02—Polishing compositions containing abrasives or grinding agents
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B24—GRINDING; POLISHING
- B24B—MACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
- B24B1/00—Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B24—GRINDING; POLISHING
- B24B—MACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
- B24B37/00—Lapping machines or devices; Accessories
- B24B37/04—Lapping machines or devices; Accessories designed for working plane surfaces
- B24B37/042—Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
- B24B37/044—Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
-
- C—CHEMISTRY; METALLURGY
- C08—ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
- C08K—Use of inorganic or non-macromolecular organic substances as compounding ingredients
- C08K5/00—Use of organic ingredients
- C08K5/49—Phosphorus-containing compounds
- C08K5/51—Phosphorus bound to oxygen
- C08K5/52—Phosphorus bound to oxygen only
- C08K5/521—Esters of phosphoric acids, e.g. of H3PO4
- C08K5/523—Esters of phosphoric acids, e.g. of H3PO4 with hydroxyaryl compounds
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09G—POLISHING COMPOSITIONS; SKI WAXES
- C09G1/00—Polishing compositions
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09G—POLISHING COMPOSITIONS; SKI WAXES
- C09G1/00—Polishing compositions
- C09G1/04—Aqueous dispersions
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09G—POLISHING COMPOSITIONS; SKI WAXES
- C09G1/00—Polishing compositions
- C09G1/06—Other polishing compositions
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09K—MATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
- C09K13/00—Etching, surface-brightening or pickling compositions
- C09K13/04—Etching, surface-brightening or pickling compositions containing an inorganic acid
- C09K13/06—Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09K—MATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
- C09K3/00—Materials not provided for elsewhere
- C09K3/14—Anti-slip materials; Abrasives
- C09K3/1409—Abrasive particles per se
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09K—MATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
- C09K3/00—Materials not provided for elsewhere
- C09K3/14—Anti-slip materials; Abrasives
- C09K3/1454—Abrasive powders, suspensions and pastes for polishing
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09K—MATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
- C09K3/00—Materials not provided for elsewhere
- C09K3/14—Anti-slip materials; Abrasives
- C09K3/1454—Abrasive powders, suspensions and pastes for polishing
- C09K3/1463—Aqueous liquid suspensions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/30625—With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31051—Planarisation of the insulating layers
- H01L21/31053—Planarisation of the insulating layers involving a dielectric removal step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/32115—Planarisation
- H01L21/3212—Planarisation by chemical mechanical polishing [CMP]
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Organic Chemistry (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Materials Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Mechanical Engineering (AREA)
- Dispersion Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Medicinal Chemistry (AREA)
- Polymers & Plastics (AREA)
- Health & Medical Sciences (AREA)
- Mechanical Treatment Of Semiconductor (AREA)
- Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
Abstract
本公開涉及拋光組合物,所述拋光組合物包含至少一種磨料;至少一種氮化物去除速率降低劑、酸或鹼;和水。至少一種氮化物去除速率降低劑可以包括含有C
4至C
40烴基的疏水部分、以及含有至少一種選自由亞硫酸基、硫酸基、磺酸基、羧基、磷酸基和膦酸基組成的組的基團的親水部分;其中疏水部分和親水部分由0至10個環氧烷基團隔開。該拋光組合物可具有約2至約6.5的pH。
Description
相關申請的交叉引用
本申請要求於2020年11月6日提交的序列號17/091,260的美國申請的優先權,其內容通過引用整體併入本文。
本發明係有關於拋光組合物及其使用方法。
發明背景
通過工藝和集成創新使器件進一步小型化,從而不斷推動半導體行業提高晶片性能。化學機械拋光/平坦化(CMP)是一項強大的技術,因為它使許多複雜的電晶體級集成方案成為可能,從而有助於增加晶片密度。
發明概要
電晶體通常在生產線前端(FEOL)電晶體製造步驟中被製造。FEOL材料疊層通常包括金屬閘極和多個介電材料疊層。每個積體電路中的數十億個有源組件的電氣隔離是FEOL中的目標,並且可以使用淺溝槽隔離(STI)工藝來實現。出於示意目的,圖1中顯示了STI工藝的一部分。從圖1中可以看出,在STI CMP工藝之前,熱氧化矽和SiN可以被沉積在矽(例如,矽晶片)的頂部(圖1(a)),然後被蝕刻掉以創建溝槽/隔離和“有源”非溝槽區(以形成含電晶體的區)(圖1(b))。此後,可以通過在溝槽中沉積(例如,通過使用等離子體增強化學氣相沉積(PECVD))氧化矽(例如,TEOS)來填充這些溝槽/隔離區,使得有源非溝槽區可以被溝槽中的氧化矽隔離(圖1(c))。此後,可以選擇性地去除有源非溝槽區上方的“超載/額外的”氧化矽,同時保留淺溝槽中的氧化矽(圖1(d))。氧化矽的選擇性去除是通過淺溝槽隔離(STI)化學機械拋光/平坦化(CMP)工藝實現的,其中,優選在基本上不去除氮化矽(停止層)的情況下,使用具有氧化矽對氮化矽(例如,SiN)的高材料去除速率(MRR)選擇性的CMP漿料組合物(例如本公開中描述的那些)以高速率去除氧化矽。在上述STI CMP步驟之後,蝕刻可以用於使矽暴露以完成隔離,並且防止有源非溝槽區中形成的相鄰電晶體相互接觸,從而防止電路短路。
STI中普遍使用的電介質薄膜是氮化矽(例如SiN)、氧化矽(例如TEOS:原矽酸四乙酯)、多晶矽(P-Si)、碳氮化矽(例如SiCN)和低k/超低k電介質薄膜(例如SiCOH)。隨著45nm的高k金屬閘極技術和22nm晶片生產的FinFET技術的引入,開始更頻繁地在FEOL的更多應用中使用SiN、TEOS、SiCN和P-Si薄膜。此外,在生產線後端(BEOL)中,由於已證明傳統阻擋層材料(例如,Ta/TaN或Ti/TiN)的電阻率無法有效按比例縮小高級的10nm以下的製造節點,因此這些阻擋層材料可以被用於各種BEOL材料疊層的電介質(諸如SiN、TEOS、SiCN和P-Si)所取代。因此,對於FEOL和BEOL,這些電介質薄膜可以用作蝕刻停止層、覆蓋材料、間隔材料、附加襯墊、擴散/鈍化阻擋層、硬掩模和/或停止層。
一般來說,在高級半導體製造中更廣泛地使用電介質薄膜。從CMP的角度來看,這些包含電介質的集成的大多數都需要可以在這些薄膜上工作/拋光和/或停止的拋光組合物(漿料),例如可以去除SiN但不去除(停止在)TEOS/P-Si的漿料或可以去除TEOS/p-Si但不去除(停止在)SiN的漿料。
本公開涉及穩定的水性漿料,其可以選擇性地拋光多種材料(例如,氧化物,諸如氧化矽),同時在停止膜(例如,氮化矽膜以及相關的矽和氮基膜如SiCN(碳氮化矽))上實現非常低的拋光/去除速率並在這些停止膜上實現非常低的缺陷和表面粗糙度。例如,本文所述的拋光組合物可以以相對高的材料去除速率(MRR)拋光氧化矽(例如,SiO
2)並停止在或以非常低的速率拋光氮化矽(例如,SiN)或相關膜(例如鎢和鈷)。例如,可以通過本文所述的拋光組合物去除的氧化矽包括選自於以下的氧化矽:TEOS、熱氧化物(TOX)(例如,由高壓釜誘導的裸矽氧化引起的)、通過等離子體增強PVD沉積(例如,高密度等離子體或高縱橫比等離子體)形成的氧化矽、通過CVD沉積以及後等離子體表面固化形成的氧化矽、碳摻雜氧化矽(SiOC)、以及通過液態施加(liquid application)氧化物前體然後光或熱誘導固化形成的氧化矽。在某些情況下,要在高MRR下去除的目標膜可以是金屬或金屬氧化物或金屬氮化物,而不是氧化矽電介質。金屬、金屬氧化物和金屬氮化物的常見例子包括對於金屬,銅、鈷、釕、鋁、鈦、鎢和鉭;對於金屬氧化物,氧化鉿、氧化鈦、氧化鋁、氧化鋯和氧化鉭;以及釕、鋁、鈦、鎢和鉭的氮化物。對於這種情況,停止/低去除速率膜仍然可以是氮化矽膜並且因此可以利用來自本公開的包含氮化物去除速率降低劑的拋光組合物以實現期望的選擇性。
更具體地,本公開涉及包含磨料、氮化物去除速率降低劑、酸或鹼、水和任選的金屬腐蝕抑制劑的拋光組合物。本文所述的拋光組合物的pH值範圍可以為2至6.5,或更具體地,為2至4.5。本公開的組合物還可以被稀釋(例如,在使用點處)以形成拋光組合物,而性能沒有任何劣化。本公開還討論了使用上述拋光組合物拋光半導體襯底的方法。
一方面,本文公開的實施方案涉及一種拋光組合物,包括至少一種磨料、至少一種氮化物去除速率降低劑、酸或鹼、和水。該氮化物去除速率降低劑包括含有C
4至C
40烴基的疏水部分、以及含有至少一種選自由亞硫酸(sulfinite)基、硫酸基、磺酸基、羧基、磷酸基和膦酸基(phosphonate group)組成的組的基團的親水部分;並且所述疏水部分和親水部分由0至10個環氧烷基團(alkylene oxide group)隔開。所述拋光組合物具有約2至約6.5的pH。
在另一方面,本文公開的實施方案涉及一種拋光組合物,包括:至少一種磨料;至少一種包括疏水部分和親水部分的氮化物去除速率降低劑;酸或鹼;和水;其中,所述拋光組合物具有約2至約6.5的pH;並且在拋光包括至少氮化矽圖案的圖案化晶片期間,所述拋光組合物對氧化矽的去除速率與對氮化矽的去除速率的比率為至少約3:1,其中氮化矽圖案覆蓋有至少氧化矽(以及可選的其他材料,例如金屬或電介質)。
在又一方面,本文公開的實施方案涉及一種拋光組合物,包括:至少一種磨料;至少一種包括疏水部分和親水部分的氮化物去除速率降低劑;酸或鹼;和水;其中所述拋光組合物具有約2至約6.5的pH;並且當使用拋光組合物拋光包括覆蓋有至少氧化矽的至少氮化矽圖案的圖案化晶片時,小於約1000埃的氧化矽凹陷(dishing)發生,其中所述拋光暴露圖案化晶片上的氮化矽圖案。
在又一方面,本文公開的實施方案涉及一種拋光組合物,包括:至少一種磨料;至少一種包括疏水部分和親水部分的氮化物去除速率降低劑;酸或鹼;和水;其中所述拋光組合物具有約2至約6.5的pH;並且當用拋光組合物拋光包括覆蓋有至少氧化矽的至少氮化矽圖案的圖案化晶片時,小於約500埃的氮化矽侵蝕(erosion)發生,其中拋光暴露圖案化晶片上的氮化矽圖案。
在又一方面,本文公開的實施方案涉及一種方法,包括:將本文所述的拋光組合物施加到襯底上,所述襯底在所述襯底的表面上具有至少氮化矽和至少氧化矽;以及使墊與所述襯底的表面接觸並使所述墊相對於所述襯底移動。
在同一組合物中磨料、氮化物RR降低劑、可選的凹陷降低劑(dishing reducing agent)和可選的金屬腐蝕抑制劑的協同使用提供了當前可獲得的漿料中沒有的獨特優勢。其中,這些優勢包括:
1. 本文所述的組合物可實現非常低的氮化矽(例如,SiN)去除速率。通過明智地選擇和配製/裝載氮化矽去除速率降低劑可以實現優異的氮化矽保護。此外,在覆層晶片(即,僅包含氮化矽膜的晶片)和圖案化晶片(即,包含蝕刻成圖案的氮化矽膜和其他膜(例如TEOS)的晶片)上均觀察到低氮化矽去除速率,如本公開已證明的。
2. 非常低的氮化矽去除速率能夠獲得最小的氮化矽損失,從而在圖案化晶片上拋光後獲得非常低的氮化矽侵蝕。
3. 該組合物可實現低氧化矽凹陷/階梯高度(step-height)。凹陷、金屬表面粗糙度和去除速率可通過金屬腐蝕抑制劑和/或凹陷降低劑的明智選擇和負載/濃度來調整。
4. 該組合物與多種磨料相容。通過顆粒改性,可以調整磨料的zeta電位,以進一步調節目標膜上的去除速率。陰離子、陽離子和中性磨料都能夠形成具有較高的氧化矽去除速率和相對較低的氮化矽去除速率的穩定漿料。
5. 所述組合物可形成以高純度膠體二氧化矽作為磨料的穩定漿料。與以常規使用的二氧化鈰磨料拋光的晶片相比(通常在被拋光的晶片上產生大量缺陷),這允許產生具有低痕量金屬計數和低大顆粒計數的漿料,從而使被拋光的晶片上的缺陷減少。此外,本文所述的組合物可以克服常規二氧化矽基STI CMP組合物的某些缺點,例如它們的高氮化矽去除速率以及氧化矽和氮化矽之間的低去除選擇性。
6. 所述組合物在多種拋光條件下產生低氮化物去除速率。例如,在硬拋光墊(例如聚胺基甲酸酯基墊)和軟拋光墊(例如多孔低肖氏D硬度值墊)上氮化矽去除速率都保持較低。此外,已經觀察到下壓壓力和速度不會明顯影響氮化矽去除速率,這是良好的CMP特性,因為停止膜行為是非普雷斯頓(non-prestonian)的。本公開的組合物表現出隨壓力和速度變化去除速率變化很小的事實導致圖案化晶片拋光後非常好的形貌和高良率。用該領域的行話來說,本公開的組合物導致對氧化矽凹陷和階梯高度的低值以及氮化矽侵蝕/損失的低值。
本公開中討論的拋光組合物和濃縮物與當前可獲得的當代漿料相比,對當前一代積體電路襯底提供了性能支撐,同時顯示出對於下一代襯底和集成方案的顯著優勢。本公開的組合物可以成功且有效地去除各種各樣的金屬和介電層,並且具有相對於去除氮化矽層的高選擇性。所述組合物可用於淺溝槽隔離(STI)工藝、自對準接觸工藝或需要非常低的氮化矽材料去除速率的其他工藝。
具體實施方式
本公開涉及拋光組合物和使用其拋光半導體襯底的方法。在一些實施方案中,本公開涉及選擇性地拋光氮化矽表面上的氧化矽表面。選擇性拋光氮化矽上的氧化矽是半導體製造中的關鍵工藝,通常在淺溝槽隔離(STI)工藝期間執行。通常,STI拋光組合物(漿料)利用二氧化鈰磨料以在STI工藝中實現所需的拋光性能(例如,選擇性),因為使用二氧化矽磨料的組合物表現不佳(例如,高氮化矽去除速率)。然而,已知二氧化鈰磨料由於其“無機硬”性質當用於拋光組合物中時會產生高比率的缺陷率和劃痕。此外,二氧化鈰基拋光組合物表現出與二氧化矽基拋光組合物相比較短的保質期(例如,較低的儲存能力、較短的可用時長和較早的失效日期)、短的適用期(例如,在打開容器後和/或在儲罐或分配環(distribution loop)中的活性),並且二氧化鈰與二氧化矽相比有較大的價格波動。此外,二氧化鈰包括稀土金屬並且比二氧化矽更昂貴。根據本公開的組合物允許將比二氧化鈰磨料更軟的二氧化矽磨料用於STI漿料。含二氧化矽的拋光組合物在氧化矽(例如TEOS)相對於氮化矽(例如SiN)的材料去除速率(MRR)方面可產生非常好的選擇性,同時還提供與使用二氧化鈰磨料的STI工藝相比具有非常低的缺陷率的被拋光的晶片表面。因此,與使用二氧化鈰磨料的常規拋光組合物相比,根據本申請的拋光組合物能夠提高晶片的器件良率(device yield)。
本文所述的拋光組合物可包括(a)磨料、(b)氮化物去除速率降低劑、(c)酸或鹼、(d)水和可選的(e)金屬腐蝕抑制劑和/或(f)凹陷降低劑(例如,陰離子凹陷降低劑)。所述拋光組合物可具有至少約2至至多約6.5的pH。本公開的拋光組合物可具有相對於拋光氮化矽的針對拋光電介質或金屬的高選擇性。本公開還提供了使用拋光組合物拋光半導體襯底的方法。特別地,本公開提供了用於以相對於氮化矽高選擇性拋光電介質或金屬的方法。
在一個或多個實施方案中,至少一種(例如,兩種或三種)磨料選自陽離子磨料、基本中性磨料和陰離子磨料。在一個或多個實施方案中,至少一種磨料選自由氧化鋁、二氧化矽、二氧化鈦、二氧化鈰、氧化鋯、它們的共成型產物(co-formed products)、塗覆磨料、表面改性磨料及其混合物組成的組。在一些實施方案中,至少一種磨料不包括二氧化鈰。
在一個或多個實施方案中,所述磨料是二氧化矽基磨料,例如選自由膠體二氧化矽、熱解法二氧化矽(fumed silica)及其混合物組成的組中的一種。在一個或多個實施方案中,所述磨料具有用有機基團和/或非矽質無機基團改性的表面。例如,所述陽離子磨料可包括式(I)的端基:
-O
m-X-(CH
2)
n-Y (I),
其中,m為1至3的整數;n是1至10的整數;X是Al、Si、Ti或Zr;Y是陽離子胺基或巰基基團。作為另一個例子,所述陰離子磨料可包括式(I)的端基:
-O
m-X-(CH
2)
n-Y (I),
其中,m為1至3的整數;n是1至10的整數;X是Al、Si、Ti或Zr;Y是酸性基團。在一些實施方案中,至少一種磨料可以以按組合物的總重量計至少約0.05重量%(例如,至少約0.1重量%、至少約0.5重量%、至少約1重量%、至少約2重量%、至少約3重量%或至少約5重量%)至至多約20重量%(例如,至多約15重量%、至多約10重量%、至多約8重量%、至多約6重量%、至多約4重量%或至多約2重量%)的量存在於本文所述的拋光組合物中。
在一個或多個實施方案中,本文所述的磨料可具有至少約1nm(例如,至少約5nm、至少約10nm、至少約20nm、至少約40nm、至少約50nm、至少約60nm、至少約80nm或、至少約100nm)至至多約1000nm(例如,至多約800nm、至多約600nm、至多約500nm、至多約400 nm、或至多約200nm)的平均粒徑。如本文所用,通過動態光散射技術確定平均粒徑(MPS)。
在一個或多個實施方案中,至少一種(例如,兩種或三種不同的)氮化物去除速率降低劑是包含含有C
4至C
40烴基(例如,含有烷基基團和/或烯基基團)的疏水部分和含有至少一種選自由亞硫酸基、硫酸基、磺酸基、羧基、磷酸基和膦酸基組成的組的基團的親水部分的化合物。在一個或多個實施方案中,所述疏水部分和親水部分被0至10(例如,1、2、3、4、5、6、7、8或9)個環氧烷基團(alkylene oxide group)(例如,-(CH
2)
nO-基團,其中n可以是1、2、3或4)隔開。在一個或多個實施方案中,所述氮化物去除速率降低劑具有0個將疏水部分和親水部分隔開的環氧烷基團。不希望受理論束縛,據信在一些實施方案中氮化物去除速率降低劑中存在環氧烷基團可能不是優選的,因為它們可能產生漿料穩定性問題並提高氮化矽去除速率。
在一個或多個實施方案中,所述氮化物去除速率降低劑具有包含烴基的疏水部分,所述烴基包括至少4個碳原子(C
12)(例如,至少6個碳原子(C
6)、至少8個碳原子(C
8)、至少10個碳原子(C
10)、至少12個碳原子(C
12)、至少14個碳原子(C
14)、至少16個碳原子(C
16)、至少18個碳原子(C
18)、至少20個碳原子(C
20)、或至少22個碳原子(C
22))和/或至多40個碳原子(C
40)(例如,至多38個碳原子(C
38)、至多36個碳原子(C
36)、至多34個碳原子(C
34)、至多32個碳原子(C
32)、至多30個碳原子(C
30)、至多28個碳原子(C
28)、至多26個碳原子(C
26)、至多24個碳原子(C
24)、或至多22個碳原子(C
22))。本文提及的烴基是指僅包含碳原子和氫原子的基團,並且可以包括飽和基團(例如直鏈、支鏈或環狀烷基)和不飽和基團(例如直鏈、支鏈或環狀烯基;直鏈、支鏈或環狀炔基;或芳族基團(例如苯基或萘基))。在一個或多個實施方案中,所述氮化物去除速率降低劑的親水部分包含至少一種選自由磷酸基和膦酸基組成的組的基團。應注意,術語“膦酸基”明確旨在包括膦酸基團(phosphonic acid group)。
在一個或多個實施方案中,所述氮化物去除速率降低劑選自由萘磺酸-福馬林縮合物、月桂醇磷酸酯(lauryl phosphate)、肉豆蔻醇磷酸酯、硬脂醇磷酸酯、十八烷基膦酸、油醇磷酸酯、磷酸二十二烷基酯、十八烷基硫酸酯、三十二烷基磷酸酯、油醇聚醚-3-磷酸酯和油醇聚醚-10-磷酸酯組成的組。
在一個或多個實施方案中,所述氮化物去除速率降低劑以基於組合物的總重量至少約0.1ppm(例如,至少約0.5ppm、至少約1ppm、至少約5ppm、至少約10 ppm、至少約25 ppm、至少約50 ppm、至少約75 ppm或至少約100 ppm)至至多約1000 ppm(例如,至多約900 ppm、至多約800 ppm、至多約700 ppm、至多約600 ppm、至多約500 ppm或至多約250 ppm)的量被包含於本文所述的拋光組合物中。
在一個或多個實施方案中,本文所述的拋光組合物任選地進一步包括至少一種(例如,兩種或三種)凹陷降低劑(例如,陰離子凹陷降低劑)。在一個或多個實施方案中,至少一種凹陷降低劑是包含選自由羥基、硫酸基、膦酸基、磷酸基、磺酸基、胺基、硝酸基、亞硝酸基、羧基和碳酸基組成的組的至少一種基團的化合物。在一個或多個實施方案中,至少一種凹陷降低劑是選自由多糖和取代多糖組成的組中的至少一種。在一個或多個實施方案中,至少一種凹陷降低劑是選自由角叉菜膠、黃原膠、羥丙基纖維素、甲基纖維素、乙基纖維素、羥丙基甲基纖維素和羧甲基纖維素組成的組中的至少一種。在一個或多個實施方案中,至少一種氮化物去除速率降低劑和至少一種凹陷降低劑是化學上彼此不同的。
在一個或多個實施方案中,所述凹陷降低劑以基於組合物的總重量至少約0.1ppm(例如,至少約0.5ppm、至少約1ppm、至少約5ppm、至少約10 ppm、至少約25 ppm、至少約50 ppm、至少約75 ppm或至少約100 ppm)至至多約1000 ppm(例如,至多約900 ppm、至多約800 ppm、至多約700 ppm、至多約600 ppm或至多約500 ppm))的量被包含於本文所述的拋光組合物中。
在一個或多個實施方案中,本文所述的拋光組合物任選地進一步包括至少一種(例如,兩種或三種)金屬腐蝕抑制劑。在一個或多個實施方案中,所述至少一種金屬腐蝕抑制劑是包括選自由羥基、硫酸基、膦酸基、磷酸基、磺酸基、胺基、硝酸基、亞硝酸基、羧基和碳酸基組成的組中的至少一種基團的化合物。在一個或多個實施方案中,所述至少一種金屬腐蝕抑制劑是選自由胺基酸、膦酸酯/鹽表面活性劑、磷酸酯/鹽表面活性劑、硫酸酯/鹽表面活性劑、磺酸酯/鹽表面活性劑、葡萄糖醯胺表面活性劑、唑、醯亞胺、㗁唑、硫脲和席夫鹼(Schiff base)組成的組中的至少一種。
在一個或多個實施方案中,所述至少一種金屬腐蝕抑制劑可選自取代或未取代的苯并三唑。合適類別的取代的苯并三唑包括被至少一種取代基取代的苯并三唑,所述取代基選自由烷基基團、芳基基團、鹵素基團、胺基、硝基、烷氧基基團和羥基組成的組。所述取代的苯并三唑還包括與一個或多個芳基(例如,苯基)或雜芳基稠合的那些。
適合用作腐蝕抑制劑的苯并三唑包括苯并三唑(BTA)、1-羥基苯并三唑、5-苯基硫醇-苯并三唑、5-氯苯并三唑、4-氯苯并三唑、5-溴苯并三唑、4-溴苯并三唑、5-氟苯并三唑、4-氟苯并三唑、萘并三唑、甲苯基三唑、5-苯基-苯并三唑、5-硝基苯并三唑、4-硝基苯并三唑、2-(5-胺基-戊基)-苯并三唑、1-胺基-苯并三唑、5-甲基苯并三唑、苯并三唑-5-羧酸、4-甲基苯并三唑、4-乙基苯并三唑、5-乙基苯并三唑、4-丙基苯并三唑、5-丙基苯并三唑、4-異丙基苯并三唑、5-異丙基苯并三唑、4-正丁基苯并三唑、5-正丁基苯并三唑、4-異丁基苯并三唑、5-異丁基苯并三唑、4-戊基苯并三唑、5-戊基苯并三唑、4-己基苯并三唑、5-己基苯并三唑、5-甲氧基苯并三唑、5-羥基苯并三唑、二羥丙基苯并三唑、1-[N,N-雙(2-乙基己基)胺基甲基]-苯并三唑、5-叔丁基苯并三唑、5-(1',1'-二甲基丙基)-苯并三唑、5-(1',1',3'-三甲基丁基)苯并三唑、5-正辛基苯并三唑和5-(1',1',3',3'-四甲基丁基)苯并三唑。
在一個或多個實施方案中,所述至少一種金屬腐蝕抑制劑可以選自胺基酸。所述胺基酸的例子包括三(羥甲基)甲基甘胺酸(tricine)、丙胺酸、組胺酸、甘胺酸、纈胺酸、苯丙胺酸、脯胺酸、麩醯胺、天冬胺酸、麩胺酸、精胺酸、賴胺酸和酪胺酸。
在一個或多個實施方案中,所述至少一種金屬腐蝕抑制劑可選自C
4-C
10磷酸酯和C
4-C
10膦酸酯。所述磷酸酯的示例包括磷酸己酯、磷酸異辛酯(hexyl ethyl phosphate)、磷酸2-乙基己酯、磷酸丁酯、磷酸三乙酯、磷酸辛酯和磷酸癸酯。所述膦酸酯的例子包括丁基膦酸、己基膦酸、辛基膦酸和膦酸。
在一個或多個實施方案中,所述至少一種金屬腐蝕抑制劑是選自由苯并三唑、組胺酸、甘胺酸、磷酸己酯、磷酸異辛酯、磷酸2-乙基己酯和烷基-芳基磺酸(例如,十二烷基苯磺酸)組成的組中的至少一種。在一個或多個實施方案中,至少一種金屬腐蝕抑制劑與本文所述的至少一種氮化物去除速率降低劑、酸和/或鹼在化學上不同。
在一個或多個實施方案中,所述金屬腐蝕抑制劑以基於組合物的總重量至少約0.1ppm(例如,至少約0.5ppm、至少約1ppm、至少約5ppm、至少約10 ppm、至少約25 ppm、至少約50 ppm、至少約75 ppm或至少約100 ppm)至至多約1重量%(例如,至多約0.8重量%、至多約0.6重量%、至多約0.5重量%、至多約0.4重量%、至多約0.2重量%、至多約0.1重量%、至多約0.05重量%或至多約0.02重量%)的量被包含在本文所述的拋光組合物中。不希望受理論束縛,據信在本文所述的拋光組合物中包含金屬腐蝕抑制劑可導致停止膜(stop-on films)(例如,SiN膜)上的非常低的缺陷和表面粗糙度。
在一個或多個實施方案中,所述酸選自由甲酸、乙酸、丙二酸、檸檬酸、丙酸、蘋果酸、己二酸、琥珀酸、乳酸、草酸、羥亞乙基二膦酸、2-膦醯基-1,2,4-丁烷三羧酸、胺基三亞甲基膦酸、六亞甲基二胺四(亞甲基膦酸)、雙(六亞甲基)三胺膦酸、胺基乙酸、過乙酸、乙酸鉀、苯氧乙酸、甘胺酸、N,N-二羥乙基甘胺酸(bicine)、二甘醇酸、甘油酸、三(羥甲基)甲基甘胺酸、丙胺酸、組胺酸、纈胺酸、苯丙胺酸、脯胺酸、麩醯胺、天冬胺酸、麩胺酸、精胺酸、賴胺酸、酪胺酸、苯甲酸、硝酸、硫酸、亞硫酸、磷酸、膦酸、鹽酸、高碘酸及其混合物組成的組。
在一個或多個實施方案中,所述鹼選自由氫氧化鉀、氫氧化鈉、氫氧化銫、氫氧化銨、三乙醇胺、二乙醇胺、單乙醇胺、四丁基氫氧化銨、四甲基氫氧化銨、氫氧化鋰、咪唑、三唑、胺基三唑、四唑、苯并三唑、甲基苯并三氮唑、吡唑、異噻唑及其混合物組成的組。
在一個或多個實施方案中,所述酸或鹼可以以基於組合物的總重量至少約0.01重量%(例如,至少約0.05重量%、至少約0.1重量%、至少約0.5重量%、或至少約1重量%)至至多約10重量%(例如,至多約8重量%、至多約6重量%、至多約5重量%、至多約4重量%、或至多約2重量%)的量存在於本文所述的拋光組合物中。例如,可以足以將拋光組合物的pH值調節至所需值的量添加所述酸或鹼。
在一個或多個實施方案中,水可以以基於組合物的總重量至少約50重量%(例如,至少約55重量%、至少約60重量%、至少約65重量%、至少約70重量%、或至少約75重量%)至至多約99.9重量%(例如,至多約99.5重量%、至多約99重量%、至多約97重量%、至多約95重量%、或至多約90重量%)的量存在於本文所述的拋光組合物中(例如,作為液體介質或載體)。
在一個或多個實施方案中,本文所述的拋光組合物可具有至少約2(例如,至少約2.5、至少約3、至少約3.5或至少約4)至至多約6.5(例如,至多約6、至多約5.5、至多約5或至多約4.5)的pH。不希望受理論束縛,據信具有高於6.5的pH值的拋光組合物會降低氧化矽/氮化矽去除速率選擇性並且具有穩定性問題。
在一個或多個實施方案中,本文所述的拋光組合物可基本上不含一種或多種某些成分,例如有機溶劑、pH調節劑(例如酸或鹼)、胺、鹼金屬鹼(alkali bases)(例如鹼金屬氫氧化物)、含氟化合物(例如氟化物或氟化的化合物(例如氟化的聚合物/表面活性劑))、含矽化合物例如矽烷(例如烷氧基矽烷)、含氮化合物(例如胺基酸、胺或亞胺(例如脒,諸如1,8-二氮雜雙環[5.4.0]-7-十一烯(DBU)和1,5-二氮雜雙環[4.3.0]壬-5-烯(DBN))、鹽(例如鹵化物鹽或金屬鹽))、聚合物(例如,非離子、陽離子或陰離子聚合物、或除凹陷降低劑以外的聚合物)、表面活性劑(例如,陽離子表面活性劑、陰離子表面活性劑、非離子表面活性劑、或除氮化物去除速率降低劑以外的那些表面活性劑)、增塑劑、氧化劑(例如H
2O
2)、季銨化合物(例如,鹽諸如四烷基銨鹽,以及氫氧化物諸如四甲基氫氧化銨)、腐蝕抑制劑(例如唑類或非唑類腐蝕抑制劑)、電解質(例如聚電解質)和/或某些磨料(例如二氧化鈰磨料、非離子磨料、表面改性磨料或帶負電/正電的磨料)。可從拋光組合物中排除的鹵化物鹽包括鹼金屬鹵化物(例如鹵化鈉或鹵化鉀)或鹵化銨(例如氯化銨),並且可以是氯化物、溴化物或碘化物。如本文所用,拋光組合物中“基本上不含”的成分是指不是有意添加到拋光組合物中的成分。在一些實施方案中,本文所述的拋光組合物可具有至多約1000 ppm(例如,至多約500 ppm、至多約250 ppm、至多約100 ppm、至多約50 ppm、至多約10 ppm、或至多約1 ppm)的所述拋光組合物中基本上不含的一種或多種上述成分。在一些實施方案中,所述拋光組合物可完全不含一種或多種上述成分。
在一個或多個實施方案中,本文所述的拋光組合物對氧化矽(例如,TEOS)的去除速率與對氮化矽的去除速率的比率(即,去除速率選擇性)為至少約3:1、或至少約4:1、或至少約5:1、或至少約10:1、或至少約25:1、或至少約50:1、或至少約60:1、或至少約75:1、或至少約100:1、或至少約150:1、或至少約200:1、或至少約250:1、或至少約300:1、或至少約500 :1、或至少約750:1、或至多約1000:1、或至多約5000:1。在一個或多個實施方案中,當測量拋光覆層晶片或圖案化晶片(即,包括至少氮化矽圖案的晶片,其中氮化矽圖案覆蓋有至少氧化矽(和可選擇地覆蓋有其他材料,例如金屬和電介質))的去除速率時,上述比率可以適用。
在一個或多個實施方案中,當用所述拋光組合物拋光圖案化晶片(其可包括覆蓋有至少氧化矽的至少氮化矽圖案)時(例如,直到拋光暴露圖案化晶片上的氮化矽圖案),至多約1000埃、或至多約500埃、或至多約375埃、或至多約250埃、或至多約200埃、或至多約100埃、或至多約50埃和/或至少約0埃的氧化矽(例如,TEOS)凹陷發生。在一個或多個實施方案中,當用所述拋光組合物拋光圖案化晶片(其可包括覆蓋有至少氧化矽的至少氮化矽圖案)時(例如,直到拋光暴露圖案化晶片上的氮化矽圖案),至多約500埃、或至多約400埃、或至多約300埃、或至多約250埃、至多約200埃、至多約100埃、或至多約75埃、或至多約65埃、或至多約50埃、或至多約32埃和/或至少約0埃的氮化矽侵蝕發生。
在一個或多個實施方案中,當使用根據本公開的拋光組合物拋光圖案化晶片時,平坦化效率(即,拋光期間氧化矽階梯高度的變化除以去除的氧化矽的量乘以100)為至少約14%(例如,至少約20%、至少約30%、至少約38%、至少約40%、至少約46%、至少約50%、至少約60%、至少約70%或至少約74%)和至多約100%(例如,至多約99.9%、至多約99%、至多約95%、至多約90%、至多約80%、至多約70%或至多約60%)。在一個或多個實施方案中,當使用根據本公開的拋光組合物(例如,包括二氧化矽磨料和氮化物去除速率降低劑的組合物)拋光圖案化晶片時,直徑為12英寸(即,約300mm)的圖案化晶片上的總缺陷計數為至多175(例如,至多170、至多160、至多150、至多125、至多100、至多75、至多50、至多25、至多10或至多5)個。如本文所述,所計數的缺陷是尺寸至少約90nm的那些缺陷。
在一個或多個實施方案中,本公開的特徵在於一種拋光方法,該方法可以包括將根據本公開的拋光組合物施加到襯底(例如,晶片)上,所述襯底在所述襯底的表面上具有至少氮化矽和氧化矽;以及使墊與所述襯底的表面接觸並使所述墊相對於所述襯底移動。在一些實施方案中,當襯底包括覆蓋有至少氧化矽(例如,在諸如矽基電介質(例如,碳化矽等)、金屬、金屬氧化物和氮化物等的其他材料存在下的氧化矽)的至少氮化矽圖案時,上述方法可以去除至少部分氧化矽(例如,有源非溝槽區上的氧化矽)以暴露氮化矽。應注意,本文所述的術語“氮化矽”和“氧化矽”明確旨在包括未摻雜和摻雜形式的氮化矽和/或氧化矽。例如,在一個或多個實施方案中,所述氮化矽和氧化矽可以獨立地摻雜有至少一種摻雜劑,所述摻雜劑選自碳、氮(用於氧化矽)、氧、氫或者任何其他已知的用於氮化矽或氧化矽的摻雜劑。氧化矽膜類型的一些示例包括TEOS(原矽酸四乙酯)、SiOC、SiOCN、SiOCH、SiOH和SiON,僅舉幾例。氮化矽膜類型的一些示例包括SiN(純氮化矽)、SiCN、SiCNH和SiNH,僅舉幾例。
在一個或多個實施方案中,使用本文所述的拋光組合物的方法可以進一步包括一個或多個附加步驟以從由拋光組合物處理的襯底生產半導體器件。例如,所述方法可以包括在上述拋光方法之前的以下步驟中的一個或多個:(1)在襯底(例如,矽晶片)上沉積氧化矽(例如,熱氧化矽)以形成氧化矽層,(2)在氧化矽層上沉積氮化矽以形成氮化矽層,(3)蝕刻襯底以形成溝槽區和非溝槽區,以及(4)將氧化矽沉積到被蝕刻的襯底以用氧化矽填充溝槽。作為另一示例,所述方法可以包括在上述拋光方法之後的至少一個附加步驟,例如蝕刻襯底(例如,以去除氮化矽和氧化矽)以暴露晶片襯底上的矽和/或氧化矽或其他異質膜。
實施例
提供實施例以進一步說明本公開的拋光組合物和方法的能力。所提供的實施例無意且不應被解釋為限制本公開的範圍。除非另有說明,否則列出的任何百分比均按重量(重量%,wt%)計。實施例中描述的氮化物去除速率降低劑從各種供應商處獲得,並且在一些情況下可以包括少量的碳鏈長度小於或大於下表中指定的碳鏈長度的類似化合物。表中指定的碳鏈長度確定了氮化物去除速率降低劑的主要成分。
實施例 1 :氮化物停止( nitride stop )的證明
在該實施例中,樣品1A-1F中使用的拋光組合物主要包括:3 w/w%中性膠體二氧化矽磨料、作為pH調節劑的丙二酸、氮化物去除速率降低劑(如果存在)和作為液體載體的水。該拋光組合物的pH值為2.3。使用Applied Materials Mirra CMP拋光機以2 psi的下壓壓力和175 mL/min的流速在Dow VP6000墊上拋光200mm氧化矽(TEOS)和氮化矽(SiN)覆層晶片。
表1. TEOS和SiN去除速率 vs. 氮化物去除速率降低表面活性劑種類
“EO”是指環氧乙烷(ethylene oxide);“RR”是指去除速率。
樣品 | 氮化物去除速率降低劑 | EO基團 | TEOS RR [Å/min] | SiN RR [Å/min] | TEOS RR/ SiN RR |
對照 | 無 | 0 | 982 | 121 | 8 |
1A | 硬脂醇磷酸酯 | 0 | 816 | 2 | 408 |
1B | 磷酸正十八酯 | 0 | 868 | 1 | 868 |
1C | 油醇磷酸酯 | 0 | 965 | 4 | 241 |
1D | 十八烷基硫酸酯 | 0 | 854 | 1 | 854 |
1E | 油醇聚醚-3-磷酸酯 | 3 | 790 | 10 | 79 |
1F | 油醇聚醚-10-磷酸酯 | 10 | 629 | 7 | 90 |
表1中的結果表明:對照拋光組合物(不包含氮化物去除速率降低劑)在氧化矽和氮化矽之間的去除速率選擇性為8,這對於大多數需要低氮化矽速率的應用來說都太低了。然而,在添加氮化物去除速率降低劑的情況下,拋光組合物的氮化矽去除速率降到低至1 Å/min且去除速率選擇性攀升至高達868。
實施例 2 : pH 值範圍和不同磨料表面電荷的證明
在該實施例中,樣品2A-2I中使用的拋光組合物包括:3w/w%膠體二氧化矽磨料、作為pH調節劑的有機酸、正十八烷基膦酸和作為液體載體的水。正十八烷基膦酸是本文所述的一類氮化物去除速率降低劑的代表。此外,在該實施例中,膠體二氧化矽電荷因使用中性二氧化矽、陽離子二氧化矽和陰離子二氧化矽而變化,如表2所示。該拋光組合物的pH值在約2.25至約4.25之間變化。使用Applied Materials Mirra CMP拋光機以2 psi的下壓壓力和175 mL/min的流速在Dow VP6000墊上拋光200mm氧化矽(TEOS)和氮化矽覆層晶片。
表2. 使用三種類型的二氧化矽的TEOS和SiN去除速率 vs. pH值
樣品 | 磨料 | 正十八烷基膦酸 的相對濃度 | pH | TEOS RR [Å/min] | SiN RR [Å/min] | TEOS RR/ SiN RR |
2A | 中性二氧化矽 | x | 2.25 | 925 | 3 | 308 |
2B | x | 2.75 | 1186 | 2 | 593 | |
2C | x | 3.50 | 921 | 3 | 307 | |
2D | x | 4.25 | 587 | 9 | 65 | |
2E | 陽離子二氧化矽 | 2x | 2.75 | 389 | 2 | 194 |
2F | 2x | 3.50 | 458 | 2 | 229 | |
2G | 2x | 4.25 | 815 | 2 | 407 | |
2H | 陰離子二氧化矽 | 2x | 2.25 | 47 | 27 | 2 |
2I | 2x | 3.25 | 44 | 13 | 3 |
如表2所示,氮化物去除速率降低劑能夠在約2.25至約4.25的pH範圍內與中性二氧化矽、陽離子二氧化矽和陰離子二氧化矽一起控制氮化矽去除速率。無論二氧化矽磨料的表面電荷如何,上述系統的強健的氮化物速率降低是令人驚訝的。例如,通常認為陽離子磨料與陰離子氮化物去除速率降低劑的相容性較差。相比之下,在該系統中,漿料保持穩定,並且氮化物去除速率降低劑保持活性。
通常,當使用陰離子磨料時氮化矽去除速率通常非常高(~400 Å/min)且難以控制。值得注意的是,本文所述的氮化物去除速率降低劑能夠顯著降低氮化矽去除速率。當需要低TEOS和氮化矽去除速率且在通過陰離子磨料良好拋光的膜(例如,碳化矽膜)上具有高去除速率時,這種類型的系統可能是有用的。
實施例 3 :氮化物去除速率降低劑的鏈長和頭部類型( head type )的影響的證明
在該實施例中,樣品3A-3L中使用的拋光組合物包括:3w/w%膠體二氧化矽磨料、作為pH調節劑的丙二酸、表3中所示的氮化物去除速率降低劑和作為液體載體的水。拋光組合物的pH值為2.25。具體地,樣品3A-3L中使用的氮化物去除速率降低劑包括表3中描述的頭部類型和疏水物,且不包括任何環氧烷基團。此外,樣品3I、3J和3K中使用的氮化物去除速率降低劑包括表面活性劑的混合物,其中月桂醇磷酸酯/肉豆蔻醇磷酸酯、硬脂醇磷酸酯和三十二烷基磷酸酯分別是主要成分。
使用Applied Materials Mirra CMP拋光機以2 psi的下壓壓力和175 mL/min的流速在Dow VP6000墊上拋光200 mm氧化矽(TEOS)和氮化矽覆層晶片。
表3. TEOS和SiN去除速率 VS 氮化物去除速率降低劑的頭基和疏水物
樣品 | 頭部類型 | 疏水物 | TEOS RR [Å/min] | SiN RR [Å/min] | TEOS RR/ SiN RR |
3A | 膦酸酯 | n-己基 | 953 | 157 | 6 |
3B | 膦酸酯 | n-辛基 | 906 | 138 | 7 |
3C | 膦酸酯 | n-癸基 | 895 | 146 | 6 |
3D | 膦酸酯 | n-十二烷基 | 940 | 3 | 313 |
3E | 膦酸酯 | n-十四烷基 | 919 | 3 | 306 |
3F | 膦酸酯 | n-十六烷基 | 855 | 2 | 427 |
3G | 膦酸酯 | n-十八烷基 | 811 | 2 | 405 |
3H | 磷酸酯 | 己基(C 6) | 946 | 139 | 7 |
3I | 磷酸酯 | 月桂基/肉豆蔻基(C 12/C 14) | 925 | 4 | 231 |
3J | 磷酸酯 | 硬脂基(C 18) | 835 | 3 | 279 |
3K | 磷酸酯 | 二十二烷基(C 22) | 828 | 2 | 414 |
3L | 磷酸酯 | 三十二烷基(C 32) | 898 | 23 | 39 |
如表3所示,氮化物去除速率降低劑中疏水物的尺寸在決定氮化矽速率降低效果方面起著重要作用。表3顯示,在測試的試劑中,12或更長的鏈長在測試條件下對於有效的氮化物停止效果最佳。氮化物去除速率降低劑中的碳鏈長度為12或更長(參見表3中的樣品3D、3E、3F、3G、3I、3J、3K和3L)可針對覆層薄膜確保低SiN RR(通常< 5 Å /min)並產生TEOS:SiN RR的高選擇性比率(> 250)。因此,此類拋光組合物理想地適用於需要氧化矽與氮化矽的高選擇性比率的STI CMP工藝。
實施例 4 :下壓壓力效果的證明
在該實施例中,樣品4A-4C中使用的拋光組合物包括:3w/w%膠體二氧化矽磨料、作為pH調節劑的有機酸、正十八烷基膦酸和作為液體載體的水。拋光組合物的pH值為2至6.5。使用Applied Materials Mirra CMP拋光機以2、3和4psi的下壓壓力和175mL/min的流速在Dow IC1010墊上拋光200mm高密度等離子體(HDP)氧化矽、原矽酸四乙酯氧化物(TEOS)、硼磷矽玻璃(BPSG)和氮化矽塗覆的晶片。
表4. HDP、TEOS、BPSG和SiN去除速率 VS 下壓壓力
樣品 | 壓力 [psi] | HDP RR [Å/min] | TEOS RR [Å/min] | BPSG RR [Å/min] | SiN RR [Å/min] |
4A | 2 | 1147 | 1835 | 4971 | 4 |
4B | 3 | 1506 | 2324 | 6675 | 2 |
4C | 4 | 1752 | 3140 | 8173 | 1 |
如表4所示,氧化矽膜(HDP、TEOS和BPSG)表現出普雷斯頓行為,而氮化矽去除速率表現出非普雷斯頓行為並且無論施加的下壓壓力如何都保持良好的控制。在CMP語言中,去除速率的普雷斯頓行為意味著拋光速率隨著拋光機的拋光壓力和/或角速度/rpm(每分鐘轉數)的增加而線性增加。對於高速率目標膜,普雷斯頓行為是期望的(本文為氧化矽膜)。非普雷斯頓行為意味著拋光速率不會隨著壓力或速度的變化而明顯改變。對於停止膜(stop on film)(本文為SiN)來說非普雷斯頓行為在某種程度上是需要的。如表4所示,氧化矽膜的去除速率隨著下壓壓力的增加呈線性/普雷斯頓式增加(例如,隨著下壓壓力從2psi增加至3psi至4psi,TEOS RR從1835 Å /min增加至2324 Å /min至3140 Å /min)。與之相對,SiN(停止膜)去除速率不會隨著壓力的增加而明顯改變(即,隨著下壓壓力從2psi增加至3psi至4 psi,SiN RR從4 Å /min波動至2 Å /min至1 Å /min)。此外,該實施例證明拋光組合物對早先定義的氧化矽族膜具有相似的行為。為了進一步說明,在表4中,描述了氧化矽膜的三個示例:HDP、TEOS和BPSG。本公開的拋光組合物非常有效地在所有不同類型的氧化矽膜上產生高材料去除速率。使用不同種類的氮化矽膜(SiN、SiCN等)的等效實驗顯示出與表4中描述的SiN膜上實現的類似的漿料停止行為。為簡單起見,表4中僅描述了SiN膜速率。
實施例 5 :墊效果證明
在本實施例中,樣品5A-5C中使用的拋光組合物包括:3w/w%膠體二氧化矽磨料、作為pH調節劑的有機酸、氮化物去除速率降低劑和作為液體載體的水。拋光組合物的pH值為2至6.5。使用Applied Materials Mirra CMP拋光機以2 psi的下壓壓力和175 mL/min的流速在Dow VP6000或Fujibo H800墊上拋光200 mm原矽酸四乙酯氧化物(TEOS)和氮化矽(SiN)覆層晶片。
表5. TEOS和SiN去除速率 vs. 墊和氮化物去除速率降低劑
樣品 | 墊 | 氮化物去除速率 降低劑 | TEOS RR [Å/min] | SiN RR [Å/min] | TEOS RR/ SiN RR |
5A | Dow VP6000 | 硬脂醇磷酸酯 | 745 | 2 | 373 |
5B | 正十八烷基膦酸酯 | 756 | 1 | 756 | |
5C | 油醇磷酸酯 | 835 | 3 | 278 | |
5A | Fujibo H800 | 硬脂醇磷酸酯 | 951 | 8 | 119 |
5B | 正十八烷基膦酸酯 | 942 | 2 | 471 | |
5C | 油醇磷酸酯 | 970 | 61 | 16 |
如表5所示,氮化物去除速率降低劑對氮化矽保護有影響。在具有中等硬度的Dow VP6000墊上,所有樣品(5A-5C)都提供了有效的氮化物保護,如低SiN去除速率和高TEOS/SiN去除速率選擇性所證明的。然而,在為軟墊的Fujibo H800墊上,只有含有長鏈飽和疏水物的氮化物去除速率降低劑的樣品(5A、5B)才能提供有效的氮化物停止。因此,該實施例證明本公開的拋光組合物在所有種類的拋光墊上有效地起作用。此外,該實施例表明了這樣的趨勢:當氮化物去除速率降低劑包括較長的疏水物、是更飽和的和/或是更疏水的時,氮化物保護提高。
實施例 6 :腐蝕抑制劑效果的證明
在本實施例中,樣品6A-6G中使用的拋光組合物包括:2.25w/w%膠體二氧化矽磨料、作為pH調節劑的有機酸、氮化物去除速率降低劑和作為液體載體的水。樣品6B-6G還包括金屬腐蝕抑制劑。所有樣品的pH值為3.0。使用Applied Materials Mirra CMP拋光機以2 psi的下壓壓力和175 mL/min的流速在Fujibo H804墊上拋光200 mm原矽酸四乙酯氧化物(TEOS)、氮化矽(SiN)和鎢(W)覆層晶片。此外,將鈷(Co)試樣(cobalt coupon)放入加熱至60℃的樣品中持續30分鐘,以測量靜態蝕刻速率(SER)。
表6. TEOS和SiN去除速率 vs. 墊和氮化物去除速率降低劑
樣品 | 金屬腐蝕抑制劑 | TEOS RR (Å/min) | SiN RR (Å/min) | W RR (Å/min) | Co SER (Å/min) |
6A | 無 | 753 | 12 | 135 | 148 |
6B | 苯并三唑 | 687 | 10 | 53 | 18 |
6C | 組胺酸 | 455 | 11 | 75 | 35 |
6D | 甘胺酸 | 740 | 10 | 46 | 113 |
6E | 磷酸己酯 | 717 | 13 | 34 | 26 |
6F | 磷酸異辛酯 | 800 | 16 | 43 | 16 |
6G | 十二烷基苯磺酸 | 798 | 31 | 98 | 25 |
如表6所示,金屬腐蝕抑制劑對鎢去除速率有影響。具體地,本實施例表明,當唑類化合物(6B)、胺基酸(6C,6D)、短鏈磷酸酯表面活性劑(6E,6F)和磺酸表面活性劑(6G)用作金屬腐蝕抑制劑時,實現了較低的鎢去除速率。
通常,鈷在酸性溶液中具有高靜態蝕刻速率。該實施例表明,通過添加本文提出的金屬腐蝕抑制劑,顯著降低了鈷靜態蝕刻速率。
實施例 7 :濃縮物的證明
在該實施例中,樣品7A-7C中使用的拋光組合物包括對應於使用點製劑(point of use formulation)的濃縮物:3 w/w%中性膠體二氧化矽磨料、作為pH調節劑的有機酸和/或氫氧化鉀、正十八烷基膦酸和作為液體載體的水。單鍋溶液包含拋光所需的所有組分,而兩部分系統包含除有機酸之外的所有組分。平均粒徑(MPS)是漿料穩定性的可靠指標。在不穩定的系統中,顆粒會隨著時間的推移聚集,導致可測量的MPS增長。MPS是在Malvern工具上使用動態光散射技術測量的。將漿料儲存在設定為60℃的烘箱中,且每7天測量一次。根據用於加速老化測試的阿列紐斯(Arrhenius)關係,21天的完整測試運行相當於大約一年的室溫老化。換句話說,如果漿料在60℃下保持21天並且二氧化矽的MPS沒有明顯增長,則可以證明漿料具有一年的即時保質期/有效期。
表7. 濃縮漿料的加速老化(60℃)
樣品 | 類型 | pH | MPS [nm] 第0天 | MPS [nm] 第7天 | MPS [nm] 第14天 | MPS [nm] 第21天 |
7A | 2x單鍋 | 2.2 | 68 | 69 | 69 | 69 |
7B | 2x單鍋 | 3.0 | 65 | 65 | 66 | 66 |
7C | 5x兩部分 | 9.5 | 72 | 73 | 73 | 74 |
如表7所示,在整個測試過程中所有制劑都是穩定的。中性二氧化矽在酸性區的穩定通常難以實現。單鍋溶液在2x濃度(表7中顯示的選擇數據)和其他濃度水準(例如,3x、4x和高達10x濃度)(未顯示)下在約2至約6.5的pH值是穩定的。在兩部分溶液(7C)中,除酸外的所有組分都可以濃縮到更高的程度並保持穩定(高達10x還保持穩定)。在使用點,在拋光工具上運行漿料之前,添加酸和水以重構漿料。
實施例 8 :圖案化晶片去除速率選擇性的證明
在本實施例中,樣品8A、8B和8C中使用的拋光組合物(包含膠體二氧化矽磨料和表1、3和5中所示的氮化物去除速率降低劑)用於拋光200mm STI圖案化晶片,其中圖案化的氮化矽填充有高密度氧化矽,如圖2所示。氮化矽中的圖案是這樣的:在整個晶片表面上設置有大量的行間距(line space)、正方形、方格圖案以及各種節距(pitch)和密度的網格陣列。
拋光在Applied Materials 200 mm Mirra拋光工具上發生,該工具配備有Dow VP6000墊、3M A165 CIP1調節盤並採用2 PSI晶片背壓。拋光時間基於通過電機扭矩和紅色雷射(650nm)吸光度的原位端點檢測而變化。在拋光過程中,可以觀察到兩個端點信號內的特徵,從而指示薄膜疊層的有效行(active line)中的氧化矽的去除以及下面的氮化矽的暴露。基於在暴露氮化矽之前去除的材料量除以拋光時間來計算圖案化的氧化矽去除速率。與之相對,圖案化的氮化矽去除速率是在氮化矽已經暴露於拋光組合物之後基於去除的材料量除以時間來計算的。拋光完成後,通過200mm OnTrack CMP後清潔工具(來自Lam Research公司)使用Fujifilm Wako 8901 CMP後清潔化學品清潔晶片。使用KLA Tencor F5X橢偏儀測量所有晶片的膜厚度測量值(例如,以確定去除速率)。
表8. 各種行間距陣列上的圖案化晶片去除速率和選擇性
樣品 | 陣列有效 行寬(µm) | 陣列節距(µm) | 陣列圖案 密度 (%) | 氧化矽 去除速率(Å/min) | 氮化矽 去除速率(Å/min) | 選擇性 |
8A | 5 | 50 | 10 | 1301 | 15 | 86.7 |
45 | 50 | 90 | 749 | 8 | 93.6 | |
0.18 | 0.36 | 50 | 1330 | 7 | 190.0 | |
0.50 | 1.00 | 50 | 1072 | 10 | 107.2 | |
100 | 200 | 50 | 1920 | 18.0 | 106.6 | |
8B | 100 | 200 | 50 | 1710 | 31.8 | 53.8 |
8C | 0.05 | 0.5 | 10 | 1043 | 238 | 4.3 |
從表8中提供的數據來看,在包含氧化矽(頂部)和氮化矽(底部)的圖案化晶片上也觀察到先前在覆層晶片上觀察到的氧化矽和氮化矽材料去除速率之間的高選擇性。從表8中可以看出,對於樣品8A,氧化矽對氮化矽的選擇性根據圖案尺寸、密度和節距從86一直變化到190。對於樣品8B,氧化矽對氮化矽的選擇性為54,而對於樣品8C,選擇性為4。表8僅提供了圖案化晶片上的性能的代表性示例。在我們的內部實驗中,觀察到圖案化測試晶片上的選擇性比率根據薄膜的複雜性從3(對於圖案化晶片來說這是令人滿意的)一直變化到約1000。此外,本文提出的包含氮化物去除速率降低劑的拋光組合物的選擇性超過了現有技術中提出的許多傳統的、工業標準的、商業上可獲得的二氧化鈰基STI拋光組合物的選擇性。
實施例 9 :圖案化晶片凹陷和侵蝕的證明
在本實施例中,在Park Systems AFM工具中測量了與實施例8中使用的晶片類似的圖案化晶片,以量化端點處的氧化矽凹陷/階梯高度和氮化矽侵蝕/損失。樣品9A和9B中使用的拋光組合物包含表1、3和5中所示的氮化物去除速率降低劑並用於拋光圖案化晶片,其疊層如圖2所示。氧化矽凹陷/階梯高度和氮化矽侵蝕/損失結果如表9所示。平坦化效率(PE)以百分比報告,並且等於拋光過程中氧化矽階梯高度的變化除以去除的氧化物量,然後乘以100(轉換為百分比)。
表9. 圖案化晶片凹陷和侵蝕
樣品 | 陣列有效行寬(µm) | 陣列節距(µm) | 陣列圖案密度 (%) | 氧化物凹陷 (Å) | 氮化矽侵蝕 (Å) | 平坦化效率 (%) |
9A | 5 | 50 | 10 | 40 | 74 | 46 |
45 | 50 | 90 | 157 | 10 | 38 | |
0.18 | 0.36 | 50 | 48 | 70 | 14 | |
0.50 | 1.00 | 50 | 35.6 | 60 | 17 | |
100 | 200 | 50 | 245 | 30 | 74 | |
9B | 100 | 200 | 50 | 375 | 34 | 72 |
從表9可以看出,氧化矽凹陷和氮化矽侵蝕非常小。通常,對於凹陷和侵蝕,非常低的數字是更好的。凹陷和侵蝕數字代表了圖案化晶片CMP拋光後的最終形貌的平坦度。因此,這些數字的低值(以Å為單位)是期望的,因為這些數字衡量了晶片上的薄膜的峰和谷的分隔,該晶片包含圖案化晶片中的多種薄膜類型。數字越小,峰和槽之間存在的分隔越小,意味著晶片表面越平坦,這是半導體製造中CMP工藝步驟的總體目標。理想情況下,零凹陷和侵蝕值是更好的(意味著完全平坦的晶片表面)。然而,通常情況下,這些數字在實際器件/產品圖案化晶片上通常為數百或數千個Å值。因此,表9中所示的數據表明,該拋光組合物在提供非常低的凹陷和侵蝕值方面提供獨特/非凡的性能,並因此提供非常好的圖案化晶片的形貌。從表9中可以看出,氧化矽凹陷可低至35 Å且高至375 Å。SiN侵蝕比凹陷好得多,因為侵蝕數低至30 Å且高至74 Å。再者,這些是代表性的例子,在我們的實驗中看到過這些凹陷和侵蝕數高達1000埃且低至1埃,這對於本公開的目的來說仍然是令人滿意的,並且為半導體製造商可接受的。
對於平坦化效率(PE),數字越高,結果越好。理想情況下,期望PE為100%,因為該值意味著整個晶片已被平坦化並且是平坦的,即峰和谷之間沒有階梯高度。從表9中的數據可以看出,PE從14%的低值一直變化到74%。因此,這些拋光組合物對圖案化晶片提供良好的平坦化效率。
再次,表9中呈現的數據表明,本文中呈現的拋光組合物超過現有技術的商業上可獲得的二氧化鈰基STI拋光組合物的氧化物凹陷、氮化矽侵蝕和平坦化效率。
實施例 10 :拋光後圖案化晶片缺陷率的證明
在該實施例中,在KLA-AIT XUV缺陷計數器工具中通過使用商業二氧化鈰基STI製劑和實施例8中描述的組合物8A(其是含有氮化物去除速率降低劑的二氧化矽基拋光組合物)測量與實施例8和9中使用的晶片類似的圖案化晶片的缺陷率。使用組合物8A拋光的晶片的晶片圖如圖3所示。使用商業的二氧化鈰基STI拋光組合物拋光的晶片的晶片圖如圖4所示。
如圖4所示,由於磨料的相對硬度和尺寸,二氧化鈰基製劑容易出現嚴重的電弧劃傷,具有遍佈整個晶片的許多缺陷(總缺陷計數大於10,000)。對缺陷的仔細檢查表明,存在許多宏觀和微觀劃痕並伴隨有許多殘留物,其中許多劃痕可被認為是整體器件致命缺陷。然而,圖3顯示含有高純度膠體二氧化矽作為磨料的拋光組合物8A比二氧化鈰基組合物的劃痕少得多(圖4)。事實上,二氧化矽拋光組合物顯示出接近“無缺陷”且清潔的表面。對於尺寸至少為90 nm的缺陷,總缺陷計數約為175。缺陷是最終器件良率和可銷售晶片生產的關鍵。在圖4所示的圖案化晶片中,假設每個圖案化晶片有1000個晶粒(die)(每平方)。如果缺陷是器件致命缺陷,則每個有缺陷的晶粒都可能無法銷售。因此,由於二氧化鈰基拋光組合物顯示出大量缺陷,其每個晶片的可銷售晶片的良率將較低。相反,對於本公開的拋光組合物,缺陷顯著較少並且因此每個晶片的可銷售晶片的良率顯著較高。
因此,通過使用本公開的拋光組合物獲得的低缺陷率對半導體公司非常有吸引力,因為這增加了其收入的上限和下限。從技術角度來看,二氧化鈰磨料性質上是無機的(例如,鈰鑭系金屬基氧化物)且通常是硬的,而且其尺寸比二氧化矽磨料的尺寸更大,因此它們容易在晶片表面產生大量劃痕和缺陷。與之相對,膠體二氧化矽磨料性質上是有機的(矽非金屬基氧化物,呈膠體分散形式)且通常是軟的,因此在拋光過程中不會產生劃痕或缺陷。
本領域技術人員未能開發出具有令人滿意的氧化矽相對於氮化矽的去除選擇性的二氧化矽基STI拋光組合物。如本文所公開的,本發明人已發現二氧化矽和氮化矽去除速率降低劑的協同組合,其可以向行業提供二氧化矽基STI拋光組合物。此外,本公開中描述的發明可以應用於除二氧化矽之外的磨料(例如氧化鋁、二氧化鈦等)。
雖然已經相對於本文闡述的實施例描述了本公開,但是應當理解,在不脫離如所附請求項中限定的本公開的精神和範圍的情況下,其他修改和變化是可能的。
實施例 11 :使用腐蝕抑制劑改善缺陷的證明
在本實施例中,用含有1.5 w/w%膠體二氧化矽磨料、作為pH調節劑的有機酸、正十八烷基膦酸、腐蝕抑制劑(在下表中指定)和作為液體載體的水的漿料拋光氮化矽(SiN)覆層晶片。拋光完成後,通過200 mm OnTrack CMP後清潔工具(來自Lam Research公司)使用Fujifilm Wako 8901 CMP後清潔化學品清潔晶片。使用KLA-AIT XUV缺陷計數器工具測量粒子缺陷計數。
表10. 氮化矽覆層晶片上的總缺陷計數
樣品 | 腐蝕抑制劑 | SiN上的相對缺陷計數 |
11A | - | 1.00 |
11B | 磷酸己酯 | 0.50 |
11C | 磷酸2-乙基己酯 | 0.12 |
11D | 十二烷基苯磺酸 | 0.30 |
如表10所示,添加腐蝕抑制劑可使總缺陷計數減少50%-88%。這個實施例表明短(C
6-C
8)鏈和中(C
12)鏈陰離子表面活性劑可以有效地減少拋光後SiN膜上的總缺陷計數。降低的CMP後的缺陷率對於實現高器件良率至關重要。這個實施例顯示了腐蝕抑制劑在提高良率方面的有效性。
無
圖1是半導體製造中淺溝槽隔離(STI)工藝(包括STI CMP)的工藝流程示意圖。
圖2是拋光前STI圖案化晶片薄膜疊層的示意圖。
圖3是描繪使用根據本公開的二氧化矽基拋光組合物進行STI CMP之後的總缺陷率的晶片圖。
圖4是描繪使用商業的含二氧化鈰磨料的組合物進行STI CMP之後的總缺陷率的晶片圖。
Claims (19)
- 一種拋光組合物,包含: 至少一種磨料; 至少一種氮化物去除速率降低劑,包含: 疏水部分,包含C 4至C 40烴基;和 親水部分,包含至少一種選自由亞硫酸基、硫酸基、磺酸基、羧基、磷酸基和膦酸基組成的組的基團; 其中,所述疏水部分和所述親水部分由0至10個環氧烷基團隔開; 與所述至少一種氮化物去除速率降低劑不同的至少一種金屬腐蝕抑制劑,所述至少一種金屬腐蝕抑制劑包含胺基酸、膦酸酯表面活性劑、磷酸酯表面活性劑、硫酸酯表面活性劑、磺酸酯表面活性劑、葡萄糖醯胺表面活性劑、唑、醯亞胺、㗁唑、硫脲或席夫鹼; 酸或鹼;以及 水; 其中,所述拋光組合物的pH值為約2至約6.5。
- 如請求項1所述的拋光組合物,其中,所述至少一種金屬腐蝕抑制劑包括苯并三唑、組胺酸、甘胺酸、磷酸己酯、磷酸異辛酯、磷酸2-乙基己酯或十二烷基苯磺酸。
- 如請求項1所述的拋光組合物,其中,所述至少一種金屬腐蝕抑制劑的量為所述組合物的約0.1ppm至約1wt%。
- 如請求項1所述的拋光組合物,其中,所述疏水部分包含C 12至C 32烴基。
- 如請求項4所述的拋光組合物,其中,所述疏水部分包含C 16至C 22烴基。
- 如請求項1所述的拋光組合物,其中,所述親水部分包含磷酸基或膦酸基。
- 如請求項1所述的拋光組合物,其中,所述至少一種氮化物去除速率降低劑選自由以下組成的組:萘磺酸-福馬林縮合物、月桂醇磷酸酯、肉豆蔻醇磷酸酯、硬脂醇磷酸酯、十八烷基膦酸、油醇磷酸酯、磷酸二十二烷基酯、十八烷基硫酸酯、三十二烷基磷酸酯、油醇聚醚-3-磷酸酯和油醇聚醚-10-磷酸酯。
- 如請求項1所述的拋光組合物,其中,所述至少一種氮化物去除速率降低劑具有0個將所述疏水部分和所述親水部分隔開的環氧烷基團。
- 如請求項1所述的拋光組合物,其中,所述至少一種氮化物去除速率降低劑的量為所述組合物的約0.1ppm至約1000ppm。
- 如請求項1所述的拋光組合物,其中,所述拋光組合物對氧化矽的去除速率與對氮化矽的去除速率之比率為至少約3:1。
- 如請求項1所述的拋光組合物,其中,所述拋光組合物對氧化矽的去除速率與對氮化矽的去除速率之比率為至少約100:1。
- 如請求項1所述的拋光組合物,其中,所述至少一種磨料選自由陽離子磨料、基本中性磨料和陰離子磨料組成的組。
- 如請求項12所述的拋光組合物,其中,所述至少一種磨料選自由氧化鋁、二氧化矽、二氧化鈦、二氧化鈰、氧化鋯、其共成型產物、塗覆磨料、表面改性磨料及其混合物組成的組。
- 如請求項1所述的拋光組合物,其中,所述至少一種磨料的量為所述組合物的約0.05wt%至約20wt%。
- 如請求項1所述的組合物,其中,所述酸選自由甲酸、乙酸、丙二酸、檸檬酸、丙酸、蘋果酸、己二酸、琥珀酸、乳酸、草酸、羥亞乙基二膦酸、2-膦醯基-1,2,4-丁烷三羧酸、胺基三亞甲基膦酸、六亞甲基二胺四(亞甲基膦酸)、雙(六亞甲基)三胺膦酸、胺基乙酸、過乙酸、乙酸鉀、苯氧乙酸、甘胺酸、N,N-二羥乙基甘胺酸、二甘醇酸、甘油酸、三(羥甲基)甲基甘胺酸、丙胺酸、組胺酸、纈胺酸、苯丙胺酸、脯胺酸、麩醯胺、天冬胺酸、麩胺酸、精胺酸、賴胺酸、酪胺酸、苯甲酸、硝酸、硫酸、亞硫酸、磷酸、膦酸、鹽酸、高碘酸及其混合物組成的組。
- 如請求項1所述的組合物,其中,所述鹼選自由氫氧化鉀、氫氧化鈉、氫氧化銫、氫氧化銨、三乙醇胺、二乙醇胺、單乙醇胺、四丁基氫氧化銨、四甲基氫氧化銨、氫氧化鋰、咪唑、三唑、胺基三唑、四唑、苯并三唑、甲基苯并三氮唑、吡唑、異噻唑及其混合物組成的組。
- 一種方法,包括: 將請求項1所述的拋光組合物施加到襯底上,所述襯底在所述襯底的表面上具有至少氮化矽和至少氧化矽;以及 使墊與所述襯底的表面接觸,並使所述墊相對於所述襯底移動。
- 如請求項17所述的方法,其中,所述氮化矽和所述氧化矽中的至少一種摻雜有選自由碳、氮、氧和氫組成的組的至少一種摻雜劑。
- 如請求項18所述的方法,還包括由所述襯底形成半導體器件。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/091,260 US11680186B2 (en) | 2020-11-06 | 2020-11-06 | Polishing compositions and methods of using same |
US17/091,260 | 2020-11-06 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202229479A true TW202229479A (zh) | 2022-08-01 |
TWI836290B TWI836290B (zh) | 2024-03-21 |
Family
ID=78592475
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110141358A TWI836290B (zh) | 2020-11-06 | 2021-11-05 | 拋光組合物及其使用方法 |
Country Status (6)
Country | Link |
---|---|
US (2) | US11680186B2 (zh) |
EP (1) | EP3995550A1 (zh) |
JP (1) | JP2022075606A (zh) |
KR (1) | KR20220061878A (zh) |
CN (1) | CN114437634A (zh) |
TW (1) | TWI836290B (zh) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11680186B2 (en) * | 2020-11-06 | 2023-06-20 | Fujifilm Electronic Materials U.S.A., Inc. | Polishing compositions and methods of using same |
Family Cites Families (42)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100464748B1 (ko) | 1996-09-27 | 2005-01-05 | 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 | 복합재의 연마용 조성물 및 연마 방법 |
US5738800A (en) | 1996-09-27 | 1998-04-14 | Rodel, Inc. | Composition and method for polishing a composite of silica and silicon nitride |
US6455417B1 (en) | 2001-07-05 | 2002-09-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer |
GB2393447B (en) * | 2002-08-07 | 2006-04-19 | Kao Corp | Polishing composition |
JP3875156B2 (ja) * | 2002-08-07 | 2007-01-31 | 花王株式会社 | ロールオフ低減剤 |
US7071105B2 (en) | 2003-02-03 | 2006-07-04 | Cabot Microelectronics Corporation | Method of polishing a silicon-containing dielectric |
CN100399536C (zh) | 2003-04-21 | 2008-07-02 | 斯欧普迪克尔股份有限公司 | 带有电设备的硅基光设备的cmos兼容集成 |
US20050056810A1 (en) * | 2003-09-17 | 2005-03-17 | Jinru Bian | Polishing composition for semiconductor wafers |
TWI288046B (en) | 2003-11-14 | 2007-10-11 | Showa Denko Kk | Polishing composition and polishing method |
US20050189322A1 (en) | 2004-02-27 | 2005-09-01 | Lane Sarah J. | Compositions and methods for chemical mechanical polishing silica and silicon nitride |
JP4316406B2 (ja) | 2004-03-22 | 2009-08-19 | 株式会社フジミインコーポレーテッド | 研磨用組成物 |
US7988878B2 (en) * | 2004-09-29 | 2011-08-02 | Rohm And Haas Electronic Materials Cmp Holdings, Inc. | Selective barrier slurry for chemical mechanical polishing |
US20090194504A1 (en) * | 2006-05-16 | 2009-08-06 | Showa Denko K.K. | Method for producing abrasive composition |
KR101256551B1 (ko) | 2008-03-06 | 2013-04-19 | 주식회사 엘지화학 | Cmp 슬러리 및 이를 이용한 연마 방법 |
US8491808B2 (en) | 2010-03-16 | 2013-07-23 | Rohm And Haas Electronic Materials Cmp Holdings, Inc. | Method of polishing a substrate comprising polysilicon, silicon oxide and silicon nitride |
US8273142B2 (en) | 2010-09-02 | 2012-09-25 | Cabot Microelectronics Corporation | Silicon polishing compositions with high rate and low defectivity |
MY164859A (en) | 2010-09-08 | 2018-01-30 | Basf Se | Aqueous polishing composition and process for chemically mechanically polishing substrate materials for electrical, mechanical and optical devices |
CN102559058B (zh) | 2010-12-21 | 2015-05-27 | 安集微电子(上海)有限公司 | 一种化学机械抛光液 |
DE102011089221A1 (de) | 2011-12-20 | 2013-06-20 | Henkel Ag & Co. Kgaa | Färbemittel mit direktziehenden Farbstoffen und Phospat-Tensiden |
KR20150083085A (ko) | 2012-11-02 | 2015-07-16 | 가부시키가이샤 후지미인코퍼레이티드 | 연마용 조성물 |
CN103834305B (zh) | 2012-11-22 | 2017-08-29 | 安集微电子(上海)有限公司 | 一种化学机械抛光液 |
JP6116888B2 (ja) | 2012-12-18 | 2017-04-19 | 花王株式会社 | 磁気ディスク基板用研磨液組成物 |
WO2015005200A1 (ja) * | 2013-07-12 | 2015-01-15 | 株式会社フジミインコーポレーテッド | 研磨用組成物 |
JP6243671B2 (ja) * | 2013-09-13 | 2017-12-06 | 株式会社フジミインコーポレーテッド | 研磨用組成物 |
US9752057B2 (en) | 2014-02-05 | 2017-09-05 | Cabot Microelectronics Corporation | CMP method for suppression of titanium nitride and titanium/titanium nitride removal |
US10217645B2 (en) * | 2014-07-25 | 2019-02-26 | Versum Materials Us, Llc | Chemical mechanical polishing (CMP) of cobalt-containing substrate |
JP6810029B2 (ja) | 2014-10-21 | 2021-01-06 | シーエムシー マテリアルズ,インコーポレイティド | 腐食抑制剤及び関連組成物並びに方法 |
JP6538368B2 (ja) | 2015-02-24 | 2019-07-03 | 株式会社フジミインコーポレーテッド | 研磨用組成物及び研磨方法 |
KR102583709B1 (ko) | 2015-03-10 | 2023-09-26 | 가부시끼가이샤 레조낙 | 연마제, 연마제용 저장액 및 연마 방법 |
KR102463863B1 (ko) | 2015-07-20 | 2022-11-04 | 삼성전자주식회사 | 연마용 조성물 및 이를 이용한 반도체 장치의 제조 방법 |
US10144850B2 (en) * | 2015-09-25 | 2018-12-04 | Versum Materials Us, Llc | Stop-on silicon containing layer additive |
KR20170044522A (ko) * | 2015-10-15 | 2017-04-25 | 삼성전자주식회사 | 화학적 기계적 연마용 슬러리 조성물, 그의 제조 방법, 그를 이용한 연마 방법 |
US10066126B2 (en) | 2016-01-06 | 2018-09-04 | Cabot Microelectronics Corporation | Tungsten processing slurry with catalyst |
JPWO2017163847A1 (ja) | 2016-03-25 | 2019-02-07 | 株式会社フジミインコーポレーテッド | 研磨用組成物ならびに研磨方法および半導体基板の製造方法 |
US10745589B2 (en) * | 2016-06-16 | 2020-08-18 | Versum Materials Us, Llc | Chemical mechanical polishing (CMP) of cobalt-containing substrate |
US10119048B1 (en) | 2017-07-31 | 2018-11-06 | Rohm And Haas Electronic Materials Cmp Holdings, Inc. | Low-abrasive CMP slurry compositions with tunable selectivity |
US20190085205A1 (en) * | 2017-09-15 | 2019-03-21 | Cabot Microelectronics Corporation | NITRIDE INHIBITORS FOR HIGH SELECTIVITY OF TiN-SiN CMP APPLICATIONS |
US11643599B2 (en) * | 2018-07-20 | 2023-05-09 | Versum Materials Us, Llc | Tungsten chemical mechanical polishing for reduced oxide erosion |
KR20200025542A (ko) | 2018-08-30 | 2020-03-10 | 삼성전자주식회사 | 화학적 기계적 연마용 슬러리 조성물 |
US10759970B2 (en) | 2018-12-19 | 2020-09-01 | Fujifilm Electronic Materials U.S.A., Inc. | Polishing compositions and methods of using same |
US10763119B2 (en) * | 2018-12-19 | 2020-09-01 | Fujifilm Electronic Materials U.S.A., Inc. | Polishing compositions and methods of using same |
US11680186B2 (en) * | 2020-11-06 | 2023-06-20 | Fujifilm Electronic Materials U.S.A., Inc. | Polishing compositions and methods of using same |
-
2020
- 2020-11-06 US US17/091,260 patent/US11680186B2/en active Active
-
2021
- 2021-11-02 JP JP2021179817A patent/JP2022075606A/ja active Pending
- 2021-11-02 EP EP21206058.6A patent/EP3995550A1/en active Pending
- 2021-11-03 KR KR1020210149695A patent/KR20220061878A/ko not_active Application Discontinuation
- 2021-11-05 TW TW110141358A patent/TWI836290B/zh active
- 2021-11-08 CN CN202111314980.9A patent/CN114437634A/zh active Pending
-
2023
- 2023-05-01 US US18/141,530 patent/US20230265313A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
TWI836290B (zh) | 2024-03-21 |
EP3995550A1 (en) | 2022-05-11 |
JP2022075606A (ja) | 2022-05-18 |
US11680186B2 (en) | 2023-06-20 |
US20230265313A1 (en) | 2023-08-24 |
KR20220061878A (ko) | 2022-05-13 |
CN114437634A (zh) | 2022-05-06 |
US20220145130A1 (en) | 2022-05-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI749324B (zh) | 研磨組成物及其使用方法 | |
TWI758069B (zh) | 研磨組成物及其使用方法 | |
JP2010529672A (ja) | 銅ダマシン工程用化学機械的研磨スラリー組成物 | |
US20230265313A1 (en) | Polishing Compositions and Methods of Using Same | |
TW202225369A (zh) | 化學機械拋光組合物及其使用方法 |