JP2022075606A - 研磨組成物及びそれを用いる方法 - Google Patents

研磨組成物及びそれを用いる方法 Download PDF

Info

Publication number
JP2022075606A
JP2022075606A JP2021179817A JP2021179817A JP2022075606A JP 2022075606 A JP2022075606 A JP 2022075606A JP 2021179817 A JP2021179817 A JP 2021179817A JP 2021179817 A JP2021179817 A JP 2021179817A JP 2022075606 A JP2022075606 A JP 2022075606A
Authority
JP
Japan
Prior art keywords
acid
group
polishing
polishing composition
removal rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021179817A
Other languages
English (en)
Inventor
ターナー、エリック
Turner Eric
ミシュラ、アビュダヤ
Mishra Abhudaya
バイェステロス、カール
Ballesteros Carl
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujifilm Electronic Materials USA Inc
Original Assignee
Fujifilm Electronic Materials USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm Electronic Materials USA Inc filed Critical Fujifilm Electronic Materials USA Inc
Publication of JP2022075606A publication Critical patent/JP2022075606A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/49Phosphorus-containing compounds
    • C08K5/51Phosphorus bound to oxygen
    • C08K5/52Phosphorus bound to oxygen only
    • C08K5/521Esters of phosphoric acids, e.g. of H3PO4
    • C08K5/523Esters of phosphoric acids, e.g. of H3PO4 with hydroxyaryl compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/06Other polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Dispersion Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

【課題】ストップオン膜に対して非常に低い研磨/除去速度を達成し、かつ、これらのストップオン膜上の非常に低い欠陥及び表面粗さを達成しつつ、広範な材料を選択的に研磨する安定な水性スラリーを提供する。【解決手段】少なくとも1種の研磨剤、少なくとも1種の窒化物除去速度減少剤、酸又は塩基及び水を含む研磨組成物において、少なくとも1種の窒化物除去速度減少剤はC4~C40炭化水素基を含む疎水性部分と、スルフィナイト基、サルフェート基、スルホネート基、カルボキシレート基、ホスフェート基及びホスホネート基からなる群から選択される少なくとも1つの基を含む親水性部分とを含む。ここで、疎水性部分と親水性部分とは0個~10個のアルキレンオキシド基によって隔てられている。研磨組成物は、約2~約6.5の範囲のpHを有していてもよい。【選択図】なし

Description

関連出願への相互参照
本願は2020年11月6日に出願された米国特許出願番号17/091,260からの優先権を主張し、該米国特許出願の内容はその全体が参照により本明細書に取り込まれる。
半導体産業は、プロセス及び集積化の革新を通じてのデバイスのさらなる小型化によりチップ性能を改善するよう不断に駆られている。化学機械研磨/平坦化(CMP)は、トランジスタレベルにおける多くの複雑な集積スキームを可能にし、それにより向上したチップ密度を容易化するため、強力な技術である。
トランジスタは一般にフロントエンド(Front End of Line)(FEOL)トランジスタ作製ステップで作製される。FEOL材料スタックは、典型的には、金属ゲート及び誘電材料の複数のスタックを含む。各集積回路中の数十億にも及ぶ能動素子の電気的絶縁はFEOLにおける目標であり、シャロートレンチアイソレーション(STI)プロセスを用いて達成しうる。STIプロセスの一部をデモンストレーション目的で図1に示す。図1から見て取れるように、STI CMPプロセスの前に、熱酸化シリコン膜(thermal silicon oxide)及びSiNをシリコン(例えばシリコンウェハ)の上に堆積することができ(図1(a))、それからこれらをエッチング除去してトレンチ/絶縁と、「アクティブ」(active)非トレンチ領域とを(トランジスタ含有領域を形成するために)形成することができる(図1(b))。その後、これらのトレンチ/絶縁領域は、アクティブな非トレンチ領域同士がトレンチ内のシリコン酸化物によって絶縁されるように、シリコン酸化物(例えばTEOS)をトレンチ中に堆積(例えば、プラズマ化学蒸着(PECVD)により堆積)することにより埋めることができる(図1(c))。その後、シャロートレンチ内のシリコン酸化物は維持しながらアクティブな非トレンチ領域の上の「過剰に載せられた/余分な」シリコン酸化物を選択的に除去することができる(図1(d))。シリコン酸化物の選択的な除去は、シャロートレンチアイソレーション(STI)化学機械研磨/平坦化(CMP)プロセスにより達成され、このプロセスではシリコン窒化物(例えばSiN)に対するシリコン酸化物の高い材料除去速度(MRR)選択性を有するCMPスラリー組成物(例えば本開示に記載のCMPスラリー組成物)を用いて高い速度でシリコン酸化物を除去し、好ましくはシリコン窒化物(ステップオン層)は実質的に除去しない。上記のSTI CMPステップの後、シリコンを露出して絶縁を完成させ、アクティブな非トレンチ領域(複数形)に形成された隣接するトランジスタ同士が互いに接触するのを防止し、それにより電気回路のショートを防止するために、エッチングを用いることができる。
STIで広く用いられている誘電体膜はシリコン窒化物(例えばSiN)、シリコン酸化物(例えばTEOS:オルトケイ酸テトラエチル)、ポリシリコン(P-Si)、シリコン炭窒化物(例えばSiCN)、及びlow-k/ultra-low k誘電体膜(例えばSiCOH)である。45nmでのhigh-k金属ゲート技術及び22nmチップ製造におけるFinFET技術を導入することで、FEOLにおいてSiN、TEOS、SiCN及びP-Siフィルムはより頻繁にかつより多くの用途で用いられ始めている。加えて、バックエンド(Back End of Line)(BEOL)では、従来のバリア材料(例えば、Ta/TaN又はTi/TiN)の抵抗率は先進のサブ10nm製造ノード用に効率的にスケールダウンはしないことが示されているため、これらのバリア材料は種々のBEOL材料スタックのためにSiN、TEOS、SiCN、及びP-Si等の誘電体で置き換えることができる。したがって、FEOL及びBEOLの両方について、これらの誘電体膜をエッチストップ層、キャッピング材料、スペーサー材料、追加的ライナー、拡散/パッシベーションバリア、ハードマスク及び/又はストップオン層として用いることができる。
一般的に、誘電体フィルムは先進の半導体製造においてよりずっと広範に(generously)用いられている。CMPの観点からは、誘電体を取り入れたこれらの集積体は、これらの膜に対し働く/研磨する及び/又はこれらの膜上で停止する研磨組成物(スラリー)を必要とし、これは例えばSiNを除去可能だがTEOS/P-Siを除去しない(ストップオンする)スラリー、又はTEOS/p-Siを除去可能だがSiNを除去しない(ストップオンする)スラリーである。
本開示は、ストップオン膜(例えば、シリコン窒化物膜、並びにSiCN(炭窒化シリコン)等の、関連するシリコン及び窒素ベースの膜)に対して非常に低い研磨/除去速度を達成し、かつこれらのストップオン膜上の非常に低い欠陥及び表面粗さを達成しつつ、広範な材料(例えば、シリコン酸化物等の酸化物)を選択的に研磨することができる、安定な水性スラリーに関する。例えば、本開示に記載の研磨組成物は、シリコン酸化物(例えばSiO)を比較的高い材料除去速度(MRR)で研磨し、シリコン窒化物(例えばSiN)又は関係する膜(例えばタングステン及びコバルト)に対してはストップオンするか又は非常に低い速度で研磨することができる。例えば、本開示に記載の研磨組成物で除去が可能なシリコン酸化物としては、TEOS、(例えば、オートクレーブにより誘導されるベア(bare)シリコンの酸化により生じる)熱酸化物(TOX)、プラズマPVD(plasma enhanced PVD)蒸着(例えば高密度プラズマ又は高アスペクト比プラズマ)により形成されるシリコン酸化物、プラズマ後の表面硬化を伴うCVD蒸着により形成されるシリコン酸化物、炭素ドープシリコン酸化物(SiOC)、及び酸化物前駆体の液体付与及びこれに続く光又は熱に誘導される硬化により形成されるシリコン酸化物が含まれる。いくつかの例では、高MRRで除去する対象となる標的膜は、シリコン酸化物誘電体ではなく、金属又は金属酸化物又は金属窒化物であってもよい。金属、金属酸化物及び金属窒化物の一般的な例としては、金属については、銅、コバルト、ルテニウム、アルミニウム、チタン、タングステン、及びタンタル、金属酸化物については、酸化ハフニウム、酸化チタン、酸化アルミニウム、酸化ジルコニウム、及び酸化タンタル、並びに、ルテニウムの窒化物、アルミニウムの窒化物、チタンの窒化物、タングステンの窒化物、及びタンタルの窒化物が挙げられる。そのような場合、ストップオン/低除去速度膜は依然としてシリコン窒化物膜とすることができ、本開示に係る窒化物除去速度減少剤を含む研磨組成物は所望の選択性を達成するために利用することができる。
より具体的には、本開示は、研磨剤、窒化物除去速度減少剤、酸又は塩基、水、及び任意に(optionally)金属防錆剤(metal corrosion inhibitor)を含む研磨組成物に関する。本開示に記載の研磨組成物のpHは2~6.5の範囲、より具体的には2~4.5の範囲とすることができる。本開示の組成物は(例えば、使用場所で/使用時に(point of use))希釈されて、性能劣化無しに研磨組成物を形成することができる。本開示は、前記研磨組成物を用いて半導体基板を研磨する方法も論じる。
一つの態様では、本開示に開示された実施形態は、少なくとも1種の研磨剤、少なくとも1種の窒化物除去速度減少剤、酸又は塩基、及び水を含む研磨組成物に関する。前記窒化物除去速度減少剤はC4~C40炭化水素基を含む疎水性部分と、スルフィナイト(sulfinite)基、サルフェート(sulfate)基、スルホネート(sulfonate)基、カルボキシレート(carboxylate)基、ホスフェート(phosphate)基、及びホスホネート(phosphonate)基からなる群から選択される少なくとも1つの基を含む親水性部分とを含み、前記疎水性部分と前記親水性部分とは0個~10個のアルキレンオキシド基によって隔てられている。前記研磨組成物は、約2~約6.5の範囲のpHを有する。
別のある態様では、本開示に開示された実施形態は、少なくとも1種の研磨剤、疎水性部分と親水性部分とを含む少なくとも1種の窒化物除去速度減少剤、酸又は塩基、及び水を含む研磨組成物であって、前記研磨組成物は約2~約6.5のpHを有し、前記研磨組成物は、少なくともシリコン酸化物(及び任意に(optionally)その他の材料、例えば、金属又は誘電体)で覆われた(overlaid)少なくとも1つのシリコン窒化物パターンを含むパターン形成ウェハ(patterned wafer)を研磨する間、シリコン窒化物の除去速度に対するシリコン酸化物の除去速度の比が約3:1の比以上である、研磨組成物に関する。
さらに別のある態様では、本開示に開示された実施形態は、少なくとも1種の研磨剤、疎水性部分と親水性部分とを含む少なくとも1種の窒化物除去速度減少剤、酸又は塩基、及び水を含む研磨組成物であって、前記研磨組成物は約2~約6.5のpHを有し、少なくともシリコン酸化物で覆われた(overlaid)少なくともシリコン窒化物パターンを含むパターン形成ウェハを前記研磨組成物で研磨する際(ここで、研磨によりパターン形成ウェハ上のシリコン窒化物パターンを露出させる)に起こるシリコン酸化物のディッシングが約1000オングストローム未満である、研磨組成物に関する。
さらに別のある態様では、本開示に開示された実施形態は、少なくとも1種の研磨剤、疎水性部分と親水性部分とを含む少なくとも1種の窒化物除去速度減少剤、酸又は塩基、及び水を含む研磨組成物であって、前記研磨組成物は約2~約6.5のpHを有し、少なくともシリコン酸化物で覆われた(overlaid)少なくともシリコン窒化物パターンを含むパターン形成ウェハを前記研磨組成物で研磨する際(ここで、研磨によりパターン形成ウェハ上のシリコン窒化物パターンを露出させる)に起こるシリコン窒化物のエロージョンが約500オングストローム未満である、研磨組成物に関する。
さらに別のある態様では、本開示に開示された実施形態は、本開示に記載された研磨組成物を、基板の表面上に少なくともシリコン窒化物及び少なくともシリコン酸化物を有する基板に付与(apply)すること;及び、前記基板の前記表面にパッドを接触させて、パッドを前記基板との関係において動かすこと、を含む方法に関する。
研磨剤、窒化物除去速度減少剤、前記任意使用(optional)のディッシング減少剤、及び前記任意使用(optional)の金属防錆剤を同一の組成物中で相乗的に用いることで、現在利用可能なスラリーには見られない独特の利点が提供される。中でも、これらの利点は以下のものを含む:
1.本開示に記載の組成物は、非常に低いシリコン窒化物(例えばSiN)除去速度を達成することができる。シリコン窒化物除去速度減少剤の賢明な選択及び配合/添加量(loading)により優れたシリコン窒化物保護を達成することができる。さらに、本開示に実証されるように、低シリコン窒化物除去速度がブランケットウェハ(つまり、シリコン窒化物膜のみを含むウェハ)とパターン形成ウェハ(つまり、パターン状にエッチングされたシリコン窒化物膜及びその他の膜、例えばTEOS、を含むウェハ)との両方で観察される。
2.上記の非常に低いシリコン窒化物除去速度により、最小限のシリコン窒化物損失を得ることができ、それにより研磨後のパターン形成ウェハ上における非常に低いシリコン窒化物エロージョンを得ることができる。
3.前記組成物は低いシリコン酸化物のディッシング/ステップ高さを達成することができる。ディッシング、金属表面粗さ、及び除去速度は金属防錆剤及び/又はディッシング減少剤の賢明な選択及び添加量(loading)/濃度により調整することができる。
4.前記組成物は広範な研磨剤に適合可能である。粒子修飾により、標的膜の除去速度をさらに調節するように研磨剤のゼータ電位を調整することができる。アニオン性研磨剤、カチオン性研磨剤、及び中性研磨剤は全て、より高いシリコン酸化物除去速度と比較上より低いシリコン窒化物除去速度とを有する安定なスラリーを形成することが可能である。
5.前記組成物は高純度コロイダルシリカを研磨剤として含むスラリーを形成することができる。このことは、従来用いられているセリア研磨剤(これは一般的に研磨されたウェハ上に大量の欠陥を生み出す)で研磨したウェハと比べて低い微量金属(trace metal)カウント及び低い大粒子カウントを有するスラリーの作製を可能にし、このことは研磨されたウェハ上の減少した欠陥につながる。加えて、本開示に記載の組成物は、従来のシリカベースのSTI CMP組成物の特定のデメリット、例えばその高いシリコン窒化物除去速度及びシリコン酸化物とシリコン窒化物との間の低い除去選択性、を解消することができる。
6.前記組成物は様々な研磨条件にわたって低い窒化物除去速度を生み出す。例えば、シリコン窒化物除去速度は、ハード研磨パッド(例えば、ポリウレタンベースのパッド)及びソフト研磨パッド(例えば、ポロメリックで、ショアD硬度値が低いパッド)の両方において低いままである。加えて、押付力(downforce)及び速度はシリコン窒化物除去速度に認識可能な影響を与えないことが観察されており、このことは、ストップオン膜挙動が非プレストニアンであることから、有するのに良好なCMP性質である。本開示の組成物が圧力及び速度の関数として除去速度にほとんど変動を示さないという事実は、パターン形成ウェハを研磨した後における非常に良好なトポグラフィー及び高い歩留まりをもたらす。当分野の言葉で言えば、本開示の組成物はシリコン酸化物ディッシング及びステップ高さについての低い値、並びにシリコン窒化物のエロージョン/損失の低い値をもたらす。
本開示で説明する研磨組成物及び濃縮物は、現世代の集積回路基板における性能維持性(performance sustenance)を提供し、一方で同時に次世代基板及び集積スキームのための顕著な利点を示すという点において、現在入手可能な現代のスラリーと対照をなす。本開示の組成物は、シリコン窒化物層の除去と比して、様々な金属層及び誘電体層を非常に高い選択性で成功裏にかつ効率的に除去することができる。前記組成物は、シャロートレンチアイソレーション(STI)プロセス、自己整合(self-aligned)コンタクトプロセス、又は、非常に低いシリコン窒化物材料除去速度が望まれるその他のプロセスのために用いることができる。
図1は、半導体作製におけるシャロートレンチアイソレーション(STI)プロセス(STI CMPを含む)でのプロセスフローの模式図である。 図2は、研磨前のSTIパターン形成ウェハ膜スタックの模式図である。 図3は、本開示に係るシリカベースの研磨組成物を用いたSTI CMP後の総体的欠陥度(overall defectivity)を表したウェハマップである。 図4は、市販のセリア研磨剤を含有する組成物を用いたSTI CMP後の総体的欠陥度(overall defectivity)を表したウェハマップである。
本開示は研磨組成物、及びそれを用いて半導体基板を研磨する方法に関する。いくつかの実施形態では、本開示はシリコン窒化物表面と比してシリコン酸化物表面を選択的に研磨することに関する。シリコン窒化物と比してシリコン酸化物を選択的に研磨することは半導体製造における非常に重要なプロセスであり、シャロートレンチアイソレーション(STI)プロセスの中で一般的に行われている。従来は、STI研磨組成物(スラリー)はセリア研磨剤を用いてSTIプロセスにおける必要な研磨性能(例えば選択性)を達成しているが、これは、シリカ研磨剤を用いる組成物がこれまで適切に機能しなかった(例えば、高いシリコン窒化物除去速度)ためである。しかし、セリア研磨剤は、その「無機でハード」な性質のため、研磨組成物中で用いられた場合に高い欠陥率及び高いスクラッチ率を与えることが知られている。さらに、セリアベースの研磨組成物はシリカベースの研磨組成物よりも短いシェルフライフ(例えば、より低い保存能(storage capability)、より低い使用可能期間、及びより早い使用期限(expiration date))、短いポットライフ(例えば、容器を開けた後の活性、及び/又は保持タンク若しくは分配ループ(distribution loop)における活性)を有し、また、セリアはシリカよりもより大きな価格不安定性を有する。加えて、セリアは希土類金属を含み、シリカよりもより高価である。本開示に係る組成物は、セリア研磨剤よりも軟らかいシリカ研磨剤をSTIスラリー用に使用することを可能とする。シリカ含有研磨組成物は、セリア研磨剤を用いたSTIプロセスと比べた場合、非常に低い欠陥率を有する研磨済みウェハ表面を与えつつ、シリコン窒化物(例えばSiN)に比してのシリコン酸化物(例えばTEOS)の材料除去速度(MRR)における非常に良好な選択性を与えることができる。このため、本願に係る研磨組成物は、セリア研磨剤を用いる従来の研磨組成物と比べた場合に、ウェハのデバイス歩留まりを増加させることが可能である。
本開示に記載の研磨組成物は、(a)研磨剤、(b)窒化物除去速度減少剤、(c)酸又は塩基、(d)水、並びに任意に(optionally)(e)金属防錆剤及び/又は(f)ディッシング減少剤(例えばアニオン性ディッシング減少剤)を含んでよい。前記研磨組成物は、約2以上約6.5以下のpHを有してもよい。本開示の研磨組成物は、シリコン窒化物の研磨と比しての誘電体又は金属の研磨について高い選択性を有することができる。本開示は、半導体基板を研磨するために前記研磨組成物を用いる方法も提供する。特に、本開示は、シリコン窒化物と比して誘電体又は金属を高い選択性で研磨する方法を提供する。
一つ又は複数の実施形態では、前記少なくとも1種の(例えば2種又は3種の)研磨剤は、カチオン性研磨剤、実質的に中性の研磨剤、及びアニオン性の研磨剤から選ばれる。一つ又は複数の実施形態では、前記少なくとも1種の研磨剤は、アルミナ、シリカ、チタニア、セリア、ジルコニア、それらの共形成産物(co-formed products)、被覆された研磨剤、表面修飾研磨剤、及びそれらの混合物からなる群から選ばれる。いくつかの実施形態では、前記少なくとも1種の研磨剤はセリアを含まない。
一つ又は複数の実施形態では、前記研磨剤はシリカベースの研磨剤、例えば、コロイダルシリカ、ヒュームドシリカ、及びこれらの混合物からなる群から選択される研磨剤、である。一つ又は複数の実施形態では、前記研磨剤は有機基及び/又は非シリカ系無機基により修飾された表面を有する。例えば、カチオン性研磨剤は式(I)の末端基を含んでもよい:
-Om-X-(CH2)n-Y (I)
ここで、mは1~3の整数であり、nは1~10の整数であり、XはAl、Si、Ti、又はZrであり、Yはカチオン性アミノ又はチオール基である。別の例として、アニオン性研磨剤は式(I)の末端基を含んでいてもよい:
-Om-X-(CH2)n-Y (I)
ここで、mは1~3の整数であり、nは1~10の整数であり、XはAl、Si、Ti、又はZrであり、Yは酸基である。いくつかの実施形態では、前記少なくとも1種の研磨剤は、本開示に記載の研磨組成物中に、該組成物の全重量に対して約0.05重量%以上(例えば、約0.1重量%以上、約0.5重量%以上、約1重量%以上、約2重量%以上、約3重量%以上、又は約5重量%以上)~約20重量%以下(例えば、約15重量%以下、約10重量%以下、約8重量%以下、約6重量%以下、約4重量%以下、又は約2重量%以下)の量で存在してもよい。
一つ又は複数の実施形態では、本開示に記載の研磨剤は約1nm以上(例えば、約5nm以上、約10nm以上、約20nm以上、約40nm以上、約50nm以上、約60nm以上、約80nm以上、又は約100nm以上)~約1000nm以下(例えば、約800nm以下、約600nm以下、約500nm以下、約400nm以下、又は約200nm以下)の平均粒子サイズを有してもよい。本開示において、平均粒子サイズ(MPS)は動的光散乱技術により決定される。
一つ又は複数の実施形態では、前記少なくとも1種の(例えば、2種又は3種の別個の)窒化物除去速度減少剤はC4~C40炭化水素基を含む(例えばアルキル基及び/又はアルケニル基を含む)疎水性部分と、スルフィナイト(sulfinite)基、サルフェート(sulfate)基、スルホネート(sulfonate)基、カルボキシレート(carboxylate)基、ホスフェート(phosphate)基、及びホスホネート(phosphonate)基からなる群から選択される少なくとも1つの基を含む親水性部分とを含む化合物である。一つ又は複数の実施形態では、前記疎水性部分と前記親水性部分とは0個~10個の(例えば、1個、2個、3個、4個、5個、6個、7個、8個、又は9個の)アルキレンオキシド基(例えば、nが1、2、3、又は4であってもよい、-(CH2)nO-基)によって隔てられている。一つ又は複数の実施形態では、前記窒化物除去速度減少剤は前記疎水性部分と前記親水性部分は0個のアルキレンオキシド基によって隔てられている。理論に拘束されることを望むものではないが、窒化物除去速度減少剤におけるアルキレンオキシド基はスラリー安定性の問題を引き起こし、シリコン窒化物除去速度を増加させるため、窒化物除去速度減少剤中にアルキレンオキシド基が存在することはいくつかの実施形態では好ましいものではないと考えられる。
一つ又は複数の実施形態では、窒化物除去速度減少剤は4個(C12)以上の炭素原子(例えば、6個(C6)以上の炭素原子、8個(C8)以上の炭素原子、10個(C10)以上の炭素原子、12個(C12)以上の炭素原子、14個(C14)以上の炭素原子、16個(C16)以上の炭素原子、18個(C18)以上の炭素原子、20個(C20)以上の炭素原子、又は22個(C22)以上の炭素原子)及び/又は40個(C40)以下の炭素原子(例えば、38個(C38)以下の炭素原子、36個(C36)以下の炭素原子、34個(C34)以下の炭素原子、32個(C32)以下の炭素原子、30個(C30)以下の炭素原子、28個(C28)以下の炭素原子、26個(C26)以下の炭素原子、24個(C24)以下の炭素原子、又は22個(C22)以下の炭素原子)を含む炭化水素基を含む疎水性部分を有する。本開示で言及される炭化水素基は、炭素原子及び水素原子のみを含む基を指し、飽和基(例えば、直鎖、分岐、又は環状アルキル基)と不飽和基(例えば、直鎖、分岐、若しくは環状アルケニル基;直鎖、分岐、若しくは環状アルキニル基;又は芳香族基(例えばフェニル若しくはナフチル))の両方を含んでもよい。一つ又は複数の実施形態では、窒化物除去速度減少剤の親水性部分は、ホスフェート(phosphate)基及びホスホネート(phosphonate)基から選ばれる少なくとも1つの基を含む。「ホスホネート基」の語は、ホスホン酸基を含むことを明示的に意図しているものである。
一つ又は複数の実施形態では、窒化物除去速度減少剤はナフタレンスルホン酸-ホルマリン縮合物、リン酸ラウリル、リン酸ミリスチル、リン酸ステアリル、オクタデシルホスホン酸、リン酸オレイル、リン酸ベヘニル、硫酸オクタデシル、リン酸ラクセリル(lacceryl phosphate)、オレス-3リン酸、及びオレス-10リン酸からなる群から選ばれる。
一つ又は複数の実施形態では、窒化物除去速度減少剤は本開示に記載の研磨組成物中に、該組成物の全重量に対して約0.1ppm以上(例えば、約0.5ppm以上、約1ppm以上、約5ppm以上、約10ppm以上、約25ppm以上、約50ppm以上、約75ppm以上、又は約100ppm以上)~約1000ppm以下(例えば、約900ppm以下、約800ppm以下、約700ppm以下、約600ppm以下、約500ppm以下、又は約250ppm以下)の量で含まれる。
一つ又は複数の実施形態では、本開示に記載の研磨組成物は、任意に(optionally)、少なくとも1種の(例えば2種又は3種の)ディッシング減少剤(例えば、アニオン性ディッシング減少剤)をさらに含んでいる。一つ又は複数の実施形態では、前記少なくとも1種のディッシング減少剤は、ヒドロキシ基、サルフェート基、ホスホネート基、ホスフェート基、スルホネート基、アミン基、ナイトレート(nitrate)基、ナイトライト(nitrite)基、カルボキシレート基、及びカルボネート(carbonate)基からなる群から選択される少なくとも1つの基を含む化合物である。一つ又は複数の実施形態では、前記少なくとも1種のディッシング減少剤は、多糖類及び置換多糖類からなる群から選ばれる少なくとも1つである。一つ又は複数の実施形態では、前記少なくとも1つのディッシング減少剤は、カラギーナン、キサンタンガム、ヒドロキシプロピルセルロース、メチルセルロース、エチルセルロース、ヒドロキシプロピルメチルセルロース、及びカルボキシメチルセルロースからなる群から選ばれる少なくとも1つである。一つ又は複数の実施形態では、前記少なくとも1種の窒化物除去速度減少剤及び前記少なくとも1種のディッシング減少剤は、互いに化学的に別個のものである。
一つ又は複数の実施形態では、前記ディッシング減少剤は本開示に記載の研磨組成物中に、該組成物の全重量に対して約0.1ppm以上(例えば、約0.5ppm以上、約1ppm以上、約5ppm以上、約10ppm以上、約25ppm以上、約50ppm以上、約75ppm以上、又は約100ppm以上)~約1000ppm以下(例えば、約900ppm以下、約800ppm以下、約700ppm以下、約600ppm以下、又は約500ppm以下)の量で含まれる。
一つ又は複数の実施形態では、本開示に記載の研磨組成物は、任意に(optionally)、少なくとも1種の(例えば2種又は3種の)金属防錆剤をさらに含んでいる。一つ又は複数の実施形態では、前記少なくとも1種の金属防錆剤はヒドロキシ基、サルフェート基、ホスホネート基、ホスフェート基、スルホネート基、アミン基、ナイトレート(nitrate)基、ナイトライト(nitrite)基、カルボキシレート基、及びカルボネート(carbonate)基からなる群から選択される少なくとも1つの基を含む化合物である。一つ又は複数の実施形態では、前記少なくとも1種の金属防錆剤はアミノ酸、ホスホネート界面活性剤、ホスフェート界面活性剤、サルフェート界面活性剤、スルホネート界面活性剤、グルカミド(glucamide)界面活性剤、アゾール、イミド、オキサゾール、チオウレア、及びシッフ塩基からなる群から選ばれる少なくとも1つである。
一つ又は複数の実施形態では、前記少なくとも1種の金属防錆剤は置換または無置換ベンゾトリアゾールから選ばれてもよい。置換ベンゾトリアゾールの好ましいクラスとしては、アルキル基、アリール基、ハロゲン基、アミノ基、ニトロ基、アルコキシ基、及びヒドロキシ基からなる群から選ばれる少なくとも1つの置換基により置換されたベンゾトリアゾールが挙げられる。置換ベンゾトリアゾールには、1つ又は複数のアリール(例えばフェニル)又はヘテロアリール基と縮環したものも含まれる。
防錆剤としての使用のために適切なベンゾトリアゾールとしては、ベンゾトリアゾール(BTA)、1-ヒドロキシベンゾトリアゾール、5-フェニルチオール-ベンゾトリアゾール、5-クロロベンゾトリアゾール、4-クロロベンゾトリアゾール、5-ブロモベンゾトリアゾール、4-ブロモベンゾトリアゾール、5-フルオロベンゾトリアゾール、4-フルオロベンゾトリアゾール、ナフトトリアゾール、トリルトリアゾール、5-フェニル-ベンゾトリアゾール、5-ニトロベンゾトリアゾール、4-ニトロベンゾトリアゾール、2-(5-アミノ-ペンチル)-ベンゾトリアゾール、1-アミノ-ベンゾトリアゾール、5-メチルベンゾトリアゾール、ベンゾトリアゾール-5-カルボン酸、4-メチルベンゾトリアゾール、4-エチルベンゾトリアゾール、5-エチルベンゾトリアゾール、4-プロピルベンゾトリアゾール、5-プロピルベンゾトリアゾール、4-イソプロピルベンゾトリアゾール、5-イソプロピルベンゾトリアゾール、4-n-ブチルベンゾトリアゾール、5-n-ブチルベンゾトリアゾール、4-イソブチルベンゾトリアゾール、5-イソブチルベンゾトリアゾール、4-ペンチルベンゾトリアゾール、5-ペンチルベンゾトリアゾール、4-ヘキシルベンゾトリアゾール、5-ヘキシルベンゾトリアゾール、5-メトキシベンゾトリアゾール、5-ヒドロキシベンゾトリアゾール、ジヒドロキシプロピルベンゾトリアゾール、1-[N,N-ビス(2-エチルヘキシル)アミノメチル]-ベンゾトリアゾール、5-t-ブチルベンゾトリアゾール、5-(1’、1’-ジメチルプロピル)-ベンゾトリアゾール、5-(1’1’3’-トリメチルブチル)ベンゾトリアゾール、5-n-オクチルベンゾトリアゾール、及び5-(1’1’3’3’-テトラメチルブチル)ベンゾトリアゾールが挙げられる。
一つ又は複数の実施形態において、前記少なくとも1種の金属防錆剤はアミノ酸から選んでもよい。そのようなアミノ酸の例には、トリシン、アラニン、ヒスチジン、グリシン、バリン、フェニルアラニン、プロリン、グルタミン、アスパラギン酸、グルタミン酸、アルギニン、リシン、及びチロシンが含まれる。
一つ又は複数の実施形態では、前記少なくとも1種の金属防錆剤は、C4~C10ホスフェート及びC4~C10ホスホネートから選ぶことができる。そのようなホスフェートの例には、リン酸ヘキシル、リン酸ヘキシルエチル(hexyl ethyl phosphate)、リン酸2-エチルヘキシル、リン酸ブチル、リン酸トリエチル、リン酸オクチル、及びリン酸デシルが含まれる。前記ホスホネートの例には、ブチルホスホン酸、ヘキシルホスホン酸、オクチルホスホン酸、及びホスホン酸が含まれる。
一つ又は複数の実施形態では、前記少なくとも1種の金属防錆剤はベンゾトリアゾール、ヒスチジン、グリシン、リン酸ヘキシル、リン酸ヘキシルエチル、リン酸2-エチルヘキシル、及びアルキル-アリールスルホン酸(例えばドデシルベンゼンスルホン酸)からなる群から選ばれる少なくとも1つである。一つ又は複数の実施形態では、前記少なくとも1種の金属防錆剤は、本開示に記載の前記少なくとも1種の窒化物除去速度減少剤、前記酸、及び/又は前記塩基とは化学的に別個である。
一つ又は複数の実施形態では、前記金属防錆剤は本開示に記載の研磨組成物中に、該組成物の全重量に対して約0.1ppm以上(例えば、約0.5ppm以上、約1ppm以上、約5ppm以上、約10ppm以上、約25ppm以上、約50ppm以上、約75ppm以上、又は約100ppm以上)~約1重量%以下(例えば、約0.8重量%以下、約0.6重量%以下、約0.5重量%以下、約0.4重量%以下、約0.2重量%以下、約0.1重量%以下、約0.05重量%以下、又は約0.02重量%以下)の量で含まれている。理論に拘束されることを望むものではないが、本開示に記載の研磨組成物中に金属防錆剤を含むことで、ストップオン膜(例えばSiN膜)上での非常に低い欠陥及び非常に低い表面粗さがもたらされると考えられる。
一つ又は複数の実施形態では、前記酸は、ギ酸、酢酸、マロン酸、クエン酸、プロピオン酸、リンゴ酸、アジピン酸、コハク酸、乳酸、シュウ酸、ヒドロキシエチリデンジホスホン酸、2-ホスホノ-1,2,4-ブタントリカルボン酸、アミノトリメチレンホスホン酸、ヘキサメチレンジアミンテトラ(メチレンホスホン酸)、ビス(ヘキサメチレン)トリアミンホスホン酸、アミノ酢酸、過酢酸、酢酸カリウム、フェノキシ酢酸、グリシン、ビシン、ジグリコール酸、グリセリン酸、トリシン、アラニン、ヒスチジン、バリン、フェニルアラニン、プロリン、グルタミン、アスパラギン酸、グルタミン酸、アルギニン、リシン、チロシン、安息香酸、硝酸、硫酸、亜硫酸、リン酸、ホスホン酸、塩酸、過ヨウ素酸、及びそれらの混合物からなる群から選ばれる。
一つ又は複数の実施形態では、前記塩基は水酸化カリウム、水酸化ナトリウム、水酸化セシウム、水酸化アンモニウム、トリエタノールアミン、ジエタノールアミン、モノエタノールアミン、テトラブチルアンモニウムヒドロキシド、テトラメチルアンモニウムヒドロキシド、水酸化リチウム、イミダゾール、トリアゾール、アミノトリアゾール、テトラゾール、ベンゾトリアゾール、トリルトリアゾール(tolytriazole)、ピラゾール、イソチアゾール、及びこれらの混合物からなる群から選択される。
一つ又は複数の実施形態では、前記酸又は塩基は本開示に記載の研磨組成物中に、該組成物の全重量に対して約0.01重量%以上(例えば、約0.05重量%以上、約0.1重量%以上、約0.5重量%以上、又は約1重量%以上)~約10重量%以下(例えば、約8重量%以下、約6重量%以下、約5重量%以下、約4重量%以下、又は約2重量%以下)の量で存在してもよい。例えば、前記酸又は塩基は、研磨組成物のpHを所望の値に調整するのに十分な量で添加することができる。
一つ又は複数の実施形態では、前記水は本開示に記載の研磨組成物中に、該組成物の全重量に対して約50重量%以上(例えば、約55重量%以上、約60重量%以上、約65重量%以上、約70重量%以上、又は約75重量%以上)~約99.9重量%以下(例えば、約99.5重量%以下、約99重量%以下、約97重量%以下、約95重量%以下、又は約90重量%以下)の量で(液体媒体又はキャリアとして)存在してもよい。
一つ又は複数の実施形態では、本開示に記載の研磨組成物は約2以上(例えば、約2.5以上、約3以上、約3.5以上、又は約4以上)~約6.5以下(例えば、約6以下、約5.5以下、約5以下、又は約4.5以下)のpHを有してもよい。理論に拘束されることを望むものではないが、6.5を超えるpHを有する研磨組成物はシリコン酸化物/シリコン窒化物除去速度選択性を減少させるおそれ、安定性の問題を有するおそれがあると考えられる。
一つ又は複数の実施形態では、本開示に記載の研磨組成物は、有機溶媒、pH調整剤(例えば酸又は塩基)、アミン、アルカリ塩基(例えばアルカリ水酸化物)、フッ素含有化合物(例えばフッ化(fluoride)化合物又はフッ素化(fluorinated)化合物(例えばフッ素化ポリマー/界面活性剤))、シラン(例えばアルコキシシラン)等のケイ素含有化合物、窒素含有化合物(例えば、アミノ酸、アミン、又はイミン(例えば、1,8-ジアザビシクロ[5.4.0]-7-ウンデセン(DBU)及び1,5-ジアザビシクロ[4.3.0]ノナ-5-エン(DBN)などのアミジン))、塩(例えば、ハロゲン化物塩又は金属塩)、ポリマー(例えば、ノニオン性、カチオン性、若しくはアニオン性ポリマー、又はディッシング減少剤以外のポリマー)、界面活性剤(例えば、カチオン性界面活性剤、アニオン性界面活性剤、ノニオン性界面活性剤、又は窒化物除去速度減少剤以外の界面活性剤)、可塑剤、酸化剤(例えばH)、4級アンモニウム化合物(例えば、テトラアルキルアンモニウム塩等の塩、及びテトラメチルアンモニウムヒドロキシド等の水酸化物)、腐食防止剤(例えば、アゾール又は非アゾール腐食防止剤)、電解質(例えばポリマー電解質)、及び/又は特定の研磨剤(例えば、セリア研磨剤、ノニオン性研磨剤、表面修飾研磨剤、又は負/正に帯電した研磨剤)、などの1つ又は複数の特定の成分(ingredient)を実質的に含まないものであってもよい。前記研磨組成物から除いてもよいハロゲン化物塩としては、アルカリ金属ハロゲン化物(例えば、ハロゲン化ナトリウム若しくはハロゲン化カリウム)又はハロゲン化アンモニウム(例えば塩化アンモニウム)が挙げられ、塩化物でも、臭化物でも、ヨウ化物であってもよい。本開示においては、研磨組成物が「実質的に含まない」成分(ingredient)とは、研磨組成物に意図的に添加されてはいない成分を指す。いくつかの実施形態では、本開示に記載の研磨組成物は、研磨組成物に実質的に含まれない前記成分の1つ又は複数が約1000ppm以下(例えば、約500ppm以下、約250ppm以下、約100ppm以下、約50ppm以下、約10ppm以下、約1ppm以下)であってもよい。いくつかの実施形態では、本開示に記載の研磨組成物は、前記成分の1つ又は複数を全く含まないものであってもよい。
一つ又は複数の実施形態では、本開示に記載の研磨組成物は、シリコン窒化物の除去速度に対するシリコン酸化物(例えばTEOS)の除去速度の比(つまり、除去速度選択性)が約3:1の比以上、又は約4:1の比以上、又は約5:1の比以上、又は約10:1の比以上、又は約25:1の比以上、又は約50:1の比以上、又は約60:1の比以上、又は約75:1の比以上、又は約100:1の比以上、又は約150:1の比以上、又は約200:1の比以上、又は約250:1の比以上、又は約300:1の比以上、又は約500:1の比以上、又は約750:1の比以上、又は約1000:1の比以上、又は約5000:1の比以上である。一つ又は複数の実施形態では、前記の比はブランケットウェハとパターン形成ウェハ(つまり、少なくともシリコン窒化物パターンを含み、該シリコン窒化物パターン上に少なくともシリコン酸化物が覆い被せられ(及び任意に(optionally)金属及び誘電体等のその他材料が覆い被せられ)たウェハ)のいずれを研磨する場合の除去速度を測定するときにも適用可能である。
一つ又は複数の実施形態では、パターン形成ウェハ(該ウェハは少なくともシリコン酸化物がその上に覆い被せられた少なくともシリコン窒化物パターンを含んでいてもよい)を前記研磨組成物で(例えば、パターン形成ウェハ上のシリコン窒化物パターンが研磨により露出するまで)研磨する場合、約1000オングストローム以下、又は約500オングストローム以下、又は375オングストローム以下、又は250オングストローム以下、又は200オングストローム以下、又は100オングストローム以下、又は50オングストローム以下、及び/又は約0オングストローム以上のシリコン酸化物(例えばTEOS)ディッシングが生じる。一つ又は複数の実施形態では、パターン形成ウェハ(該ウェハは少なくともシリコン酸化物がその上に覆い被せられた少なくともシリコン窒化物パターンを含んでいてもよい)を前記研磨組成物で(例えば、パターン形成ウェハ上のシリコン窒化物パターンが研磨により露出するまで)研磨する場合、約500オングストローム以下、又は約400オングストローム以下、又は約300オングストローム以下、又は約250オングストローム以下、又は約200オングストローム以下、又は約100オングストローム以下、又は約75オングストローム以下、又は約65オングストローム以下、又は約50オングストローム以下、又は約32オングストローム以下、及び/又は約0オングストローム以上のシリコン窒化物エロージョンが生じる。
一つ又は複数の実施形態では、本開示に係る研磨組成物を用いてパターン形成ウェハを研磨する場合、平坦化効率(つまり、シリコン酸化物ステップ高さの変化量を研磨の間に除去されたシリコン酸化物量で除した商を100倍したもの)は約14%以上(例えば、約20%以上、30%以上、38%以上、40%以上、46%以上、50%以上、60%以上、70%以上、又は74%以上)であって、約100%以下(例えば、約99.9%以下、約99%以下、約95%以下、約90%以下、約80%以下、約70%以下、又は約60%以下)である。一つ又は複数の実施形態では、本開示に係る研磨組成物(例えば、シリカ研磨剤及び窒化物除去速度減少剤を含む組成物)を用いてパターン形成ウェハを研磨する場合、12インチ(つまり、約300mm)の直径を有するパターン形成ウェハ上の総欠陥カウントは175以下(例えば170以下、160以下、150以下、125以下、100以下、75以下、50以下、25以下、10以下、又は5以下)である。本開示の記載においては、カウントされる欠陥は、サイズが約90nm以上のものとする。
一つ又は複数の実施形態では、本開示は、本開示に係る研磨組成物を、基板表面上に少なくともシリコン窒化物及びシリコン酸化物を有する基板(例えばウェハ)に付与(apply)すること;及び、前記基板の前記表面にパッドを接触させて、前記パッドを前記基板との関係において動かすこと、を含んでいてもよい研磨方法に関する。いくつかの実施形態では、少なくともシリコン酸化物(例えば、シリコンベースの誘電体(例えばシリコン炭化物、等々)、金属、金属酸化物、金属窒化物、等々など他の材料が存在しているシリコン酸化物)がその上に覆い被せられた少なくともシリコン窒化物パターンを基板が含む場合、前記方法は前記シリコン酸化物の少なくとも一部(例えば、アクティブな非トレンチ領域上のシリコン酸化物)を除去してシリコン窒化物を露出させることができる。本開示に記載の「シリコン窒化物」及び「シリコン酸化物」の用語は、シリコン窒化物及び/又はシリコン酸化物のドープされていない状態のもの(version)及びドープされた状態のもの(version)の両方を包含することが明示的に意図されているものである。例えば、一つ又は複数の実施形態では、シリコン窒化物及びシリコン酸化物は、独立して、炭素、窒素(シリコン酸化物の場合)、酸素、水素、又はその他任意のシリコン窒化物若しくはシリコン酸化物用の公知のドーパントから選ばれる少なくとも1種のドーパントでドープされていてもよい。シリコン酸化物膜の種類のいくつかの例には、数例を挙げると、TEOS(テトラエチルオルトシリケート)、SiOC、SiOCN、SiOCH、SiOH、及びSiONが含まれる。シリコン窒化物膜の種類のいくつかの例には、数例を挙げると、SiN(純窒化ケイ素)、SiCN、SiCNH、及びSiNHが含まれる。
一つ又は複数の実施形態では、研磨組成物を用いる本開示に記載の方法は、前記研磨組成物で処理された基板から半導体デバイスを製造するための1つ又は複数の追加的ステップをさらに含んでいてもよい。例えば、前記方法は、前記研磨方法の前に以下に記載のステップのうち1つ又は複数を含んでいてもよい:(1)シリコン酸化物(例えば熱酸化シリコン)を基板(例えばシリコンウェハ)上に堆積してシリコン酸化物層を形成する、(2)シリコン酸化物層上にシリコン窒化物を堆積してシリコン窒化物層を形成する、(3)基板をエッチングしてトレンチと非トレンチ領域とを形成する、並びに、(4)シリコン酸化物をエッチングされた基板に堆積してトレンチをシリコン酸化物で埋める。他の例として、前記方法は、前記研磨方法の後に少なくとも1つの追加的ステップを含んでもよく、該追加的ステップは、例えば、基板をエッチングして(例えば、シリコン窒化物及びシリコン酸化物を除去し)、シリコン及び/又はウェハ基板上のシリコン酸化物若しくはその他の異種(heterogeneous)膜を露出させることである。
本開示の研磨組成物及び方法の能力をさらに例示するために実施例を与える。与えられた実施例は本開示の範囲を限定することを意図するものではなく、また限定するように解釈してはならない。記載されたパーセント値はどれも、他に断りの無い限り重量基準(wt%)である。実施例に記載の窒化物除去速度減少剤は様々なサプライヤーから入手したもので、いくつかの場合には、後述の表に記載されたものよりも短い又は長い炭素鎖長を有する類似化合物が少量(minor amounts)含まれることがある。表に記載の炭素鎖長は、窒化物除去速度減少剤の主要成分(majority component)を特定するものである。
実施例1:窒化物ストップの実証
この実施例では、サンプル1A~1Fで用いられた研磨組成物は主として、3w/w%の中性コロイダルシリカ研磨剤、pH調整剤としてのマロン酸、(存在するなら)窒化物除去速度減少剤、及び液体キャリアとしての水を含む。研磨組成物のpHは2.3であった。Applied Materials社のMirra CMP polisherを2psiの押付力(downforce)及び175mL/分の流量で用い、Dow VP6000パッドで200mmのシリコン酸化物(TEOS)膜付き(blanket)ウェハ及び200mmのシリコン窒化物(SiN)膜付き(blanket)ウェハを研磨した。
表1;窒化物除去速度減少界面活性剤種毎のTEOS除去速度及びSiN除去速度
Figure 2022075606000001

「EO」はエチレンオキシドを指し、「RR」は除去速度を指す。
表1の結果は、(窒化物除去速度減少剤は含まない)コントロール研磨組成物はシリコン酸化物とシリコン窒化物との間の除去速度選択性が8であったことを示しており、この値はシリコン窒化物について低い速度を必要とするほとんどの用途のためには低すぎる。しかし、窒化物除去速度減少剤を添加すると、研磨組成物のシリコン窒化物除去速度はわずか1Å/分にまで低下し、除去速度選択性は868にまで上昇した。
実施例2:pH範囲及び異なる研磨剤表面電荷の実証
この実施例では、サンプル2A~2Iで用いられた研磨組成物は、3w/w%のコロイダルシリカ研磨剤、pH調整剤としての有機酸、n-オクタデシルホスホン酸、及び液体キャリアとしての水を含んでいた。n-オクタデシルホスホン酸は、本開示に記載の窒化物除去速度減少剤のクラスの代表である。さらに、この実施例では、表2に示すとおりコロイダルシリカの電荷を中性シリカ、カチオン性シリカ、及びアニオン性シリカを用いることにより変動させた。研磨組成物のpHは約2.25~約4.25の変動とされた。Applied Materials社のMirra CMP polisherをDow VP6000パッドに対する2psiの押付力(downforce)及び175mL/分の流量で用い、200mmのシリコン酸化物(TEOS)膜付き(blanket)ウェハ及び200mmのシリコン窒化物(SiN)膜付き(blanket)ウェハを研磨した。
表2;3つのタイプのシリカについてのpH毎のTEOS除去速度及びSiN除去速度
Figure 2022075606000002
表2に示されるように、窒化物除去速度減少剤は中性シリカ、カチオン性シリカ、及びアニオン性シリカを用いて約2.25~約4.25のpH範囲でシリコン窒化物除去速度を調整することが可能であった。シリカ研磨剤の表面電荷に左右されない上記システムによる堅牢な窒化物速度減少は驚くべきことである。例えば、カチオン性研磨剤はアニオン性窒化物除去速度減少剤とは適合性が悪いであろうと一般には考えられている。対照的に、このシステムでは、スラリーは安定なままであったし、窒化物除去速度減少剤は活性なままであった。
従来、アニオン性研磨剤を用いる場合のシリコン窒化物除去速度は一般に非常に高く(約400Å/分)、制御が困難である。意義あることに、本開示に記載の窒化物除去速度減少剤はシリコン窒化物除去速度を顕著に低下することが可能であった。このタイプのシステムは、アニオン性研磨剤によってよく研磨される膜(例えば、シリコン炭化物膜)についての高い除去速度と共にTEOS及びシリコン窒化物についての低い除去速度が望まれる場合に有用となりうる。
実施例3:窒化物除去速度減少剤の鎖長及び頭部のタイプの影響についての実証
この実施例では、サンプル3A~3Lで用いられた研磨組成物は、3w/w%のコロイダルシリカ研磨剤、pH調整剤としてのマロン酸、表3に示す窒化物除去速度減少剤、及び液体キャリアとしての水を含んでいた。研磨組成物のpHは2.25であった。具体的には、サンプル3A~3Lで用いられた窒化物除去速度減少剤は表3に記載の頭部タイプ及び疎水性構造(hydrophobe)を含んでいたが、アルキレンオキシド基は含まなかった。加えて、サンプル3I、3J、及び3Kで用いられる窒化物除去速度減少剤は、それぞれリン酸ラウリル/ミリスチル、リン酸ステアリル、及びリン酸ラクセリルが主要成分(predominate component)である界面活性剤の混合物を含んでいた。
Applied Materials社のMirra CMP polisherをDow VP6000パッドに対する2psiの押付力(downforce)及び175mL/分の流量で用い、200mmのシリコン酸化物(TEOS)膜付き(blanket)ウェハ及び200mmのシリコン窒化物(SiN)膜付き(blanket)ウェハを研磨した。
Figure 2022075606000003
表3に示されるように、窒化物除去速度減少剤中の疎水性構造のサイズは、シリコン窒化物の速度の低下の効率を決定する上で重要な役割を果たす。表3は、試験された剤の中で、鎖長が12又はそれ以上であることが試験条件下で効率的な窒化物ストップのために最もよく機能したことを示している。窒化物除去速度減少剤中における炭素鎖長が12又はそれ以上であること(表3中のサンプル3D、3E、3F、3G、3I、3J、3K、及び3Lを参照)は低いSiN RR(典型的には5Å/分未満)を確実にし、ブランケット膜のためのTEOS:SiNのRRの高い選択性比(250超)を生み出す。したがって、このような研磨組成物は、理想的には、シリコン窒化物に対するシリコン酸化物の高い選択性比が望まれるSTI CMPプロセスに理想的に適合している。
実施例4:押付力(downforce)の影響の実証
この実施例では、サンプル4A~4Cで用いられた研磨組成物は、3w/w%のコロイダルシリカ研磨剤、pH調整剤としての有機酸、n-オクタデシルホスホン酸、及び液体キャリアとしての水を含んでいた。研磨組成物のpHは2と6.5の間であった。Applied Materials社のMirra CMP polisherをDow IC1010パッドに対する2、3、及び4psiの押付力(downforce)及び175mL/分の流量で用い、200mmの高密度プラズマ(HDP)シリコン酸化物被覆ウェハ、200mmのテトラエチルオルトシリケート酸化物(TEOS)被覆ウェハ、200mmのボロホスホシリケートガラス(BPSG)被覆ウェハ、及び200mmのシリコン窒化物被覆ウェハを研磨した。
表4;押付力(downforce)毎のHDP、TEOS、BPSG、及びSiN除去速度
Figure 2022075606000004
表4に示されるように、シリコン酸化物膜(HDP、TEOS、及びBPSG)はプレストニアン挙動を示し、一方、シリコン窒化物除去速度は非プレストニアン挙動を示し、加えられた押付力によらずよく制御されたままだった。CMPの表現で表せば、除去速度のプレストニアン挙動は、研磨機(polisher)の研磨圧力及び/又は角速度/rpm(分当たりの回転数)が増加すると共に研磨速度も線形に増加することを示唆する。高い速度の標的膜のためには、プレストニアン挙動が望ましい(ここではシリコン酸化物膜)。非プレストニアン挙動は、圧力又は速度が変化しても研磨速度が目立って(appreciably)には変化しないことを示唆する。ストップオン膜(ここではSiN)のためには非プレストニアン挙動がある程度望ましい。表4に見られるように、シリコン酸化物膜の除去速度は押付力の増加と共に線形に/プレストニアン的に増加する(例えば、押付力を2から3、そして4psi圧力へと増加させると、TEOS RRは1835から2324、そして3140Å/分へと増加するする)。逆に、SiN(ストップオン膜)除去速度は、圧力が増加しても目立って変化しない(つまり、押付力を2から3、そして4psi圧力へと増加させると、SiN RRは4から2、そして1Å/分へと変動する)。加えて、この実施例は、前記研磨組成物が先に定義されたシリコン酸化物ファミリーの膜において同様の挙動を有することを証明している。さらに明確にするために、表4において、我々は3つのシリコン酸化物膜例であるHDP、TEOS、及びBPSGを記載した。本開示の研磨組成物は、様々なタイプのシリコン酸化物膜の全てにおいて高い材料除去速度を与えるのに非常に効果的に働く。様々な種類のシリコン窒化物膜(SiN、SiCN、等々)の例を用いた同様の実験は、表4に記載のSiN膜において達成されたのと同様のスラリーストップ挙動を示した。表4では簡潔性のため、SiN膜についての速度だけを記載する。
実施例5:パッドの影響の実証
この実施例では、サンプル5A~5Cで用いられた研磨組成物は、3w/w%のコロイダルシリカ研磨剤、pH調整剤としての有機酸、窒化物除去速度減少剤、及び液体キャリアとしての水を含んでいた。研磨組成物のpHは2と6.5の間であった。Applied Materials社のMirra CMP polisherをDow VP6000パッド又はFujibo H800パッドに対する2psiの押付力(downforce)及び175mL/分の流量で用い、200mmのテトラエチルオルトシリケート酸化物(TEOS)膜付き(blanket)ウェハ及び200mmのシリコン窒化物(SiN)膜付き(blanket)ウェハを研磨した。
表5;パッド及び窒化物除去速度減少剤毎のTEOS除去速度及びSiN除去速度
Figure 2022075606000005
表5に示されるように、窒化物除去速度減少剤はシリコン窒化物保護に影響を有する。中程度の硬度を有するDow VP6000パッドでは、全てのサンプル(5A~5C)が低いSiN除去速度及び高いTEOS/SiN除去速度選択性により実証される効果的な窒化物保護を与えていた。しかし、軟らかいパッドであるFujibo H800パッドでは、長鎖飽和疎水性構造(5A、5B)を有する窒化物除去速度減少剤を含むサンプルのみが効果的な窒化物ストップを与えた。したがって、この実施例は本開示の研磨組成物が全ての種類の研磨パッドで有効に働くことを実証している。さらに、この実施例は、窒化物除去速度減少剤がより長い疎水性構造を含み、より飽和しており、及び/又はより疎水性である場合に窒化物保護が増加するという傾向を示唆している。
実施例6:防錆剤の効果の実証
この実施例では、サンプル6a~6gで用いられた研磨組成物は、2.25w/w%のコロイダルシリカ研磨剤、pH調整剤としての有機酸、窒化物除去速度減少剤、及び液体キャリアとしての水を含んでいた。サンプル6b~6gは金属防錆剤も含んでいた。全てのサンプルのpHは3.0であった。Applied Materials社のMirra CMP polisherをFujibo H804パッドに対する2psiの押付力(downforce)及び175mL/分の流量で用い、200mmのテトラエチルオルトシリケート酸化物(TEOS)膜付き(blanket)ウェハ、200mmのシリコン窒化物(SiN)膜付き(blanket)ウェハ、及び200mmのタングステン(W)膜付き(blanket)ウェハを研磨した。加えて、コバルト(Co)クーポンを、60℃に加熱されたサンプル中に30分配置して、静的エッチング率(static etch rate)(SER)を測定した。
表6;パッド及び窒化物除去速度減少剤毎のTEOS除去速度及びSiN除去速度
Figure 2022075606000006
表6に示されるように、金属防錆剤はタングステン除去速度に影響を有する。具体的には、この実施例は、アゾール化合物(6b)、アミノ酸(6c、6d)、短鎖ホスフェート界面活性剤(6e、6f)、及びスルホン酸界面活性剤(6g)が金属防錆剤として用いられた場合により低いタングステン除去速度が達成されたことを実証している。
典型的には、コバルトは酸性溶液中で高い静的エッチング速度を有する。この実施例は、本開示に記載の金属防錆剤の添加によりコバルト静的エッチング速度が大きく減少したことを実証している。
実施例7:濃縮物の実証
この実施例では、サンプル7A~7Cで用いられた研磨組成物は、3w/w%の中性コロイダルシリカ研磨剤、pH調整剤としての有機酸及び/又は水酸化カリウム、n-オクタデシルホスホン酸、及び液体キャリアとしての水を含む使用時の配合物に対応する濃縮物を含んでいた。単一ポット溶液は研磨に必要な成分の全てを含んでいたが、一方、2パート系は前記有機酸以外の全ての成分を含んでいた。平均粒径(MPS)はスラリー安定性の信頼できる指標である。不安定な系では、粒子は経時的に凝集して測定可能なMPS成長を引き起こした。MPSは動的光散乱技術を用いてMalvernのツールで測定した。スラリーを60℃に設定したオーブンで保存し、7日毎に測定した。加速エージング試験についてのアレニウスの関係に従って、21日間の全テストランで、おおよそ、室温での1年間のエージングに相当する。言い換えれば、スラリーを60℃で21日間保持してシリカのMPSが目立って(appreciably)成長しなければ、スラリーが1年間の現実時間シェルフライフ/使用期限(expiration date)を有すると認定できる。
表7;スラリー濃縮物の加速エージング(60℃)
Figure 2022075606000007
表7に示されるように、全ての配合物は全テストランを通して安定である。中性シリカの酸性領域における安定性は、典型的には、達成困難である。単一ポット溶液は、2×濃度(表7に示す選択データ)及びその他の濃度レベル(例えば、3×、4×、及び10×濃度まで)(表に示してはいない)においてpH約2~約6.5で安定であった。2パート溶液(7C)においては、酸以外の全ての成分がより高度に濃縮可能であり、安定なままであった(×10までについて、やはり安定なまま)。使用時に、研磨ツールにスラリーをランする前に、酸及び水を加えてスラリーを再構築することとなる。
実施例8:パターン形成ウェハの除去速度選択性の実証
この実施例では、コロイダルシリカ研磨剤及び表1、3、及び5に示した窒化物除去速度減少剤を含むサンプル8A、8B、及び8Cで用いられた研磨組成物を、パターン形成されたシリコン窒化物が図2に示すように高密度シリコン酸化物で埋められた、200mmのSTIパターン形成ウェハを研磨するのに用いた。シリコン窒化物中のパターンは、様々なピッチ及び密度の多様(wide array)なラインスペース、スクエア、チェッカー、及びメッシュのアレイがウェハ面全面にわたって配置されているようなパターンであった。
研磨は、Dow VP6000パッド、3M社のA165 CIP1 コンディショニングディスクを備えたApplied Materials社の200 mm Mirra polishing toolで、2psiのウェハ背面への圧(wafer back pressure)を用いて行った。研磨時間は、モータートルク及び赤色レーザー(650nm)吸光度の両方によるin-situでのエンドポイント検出に基づいて変動させた。フィルムスタックのアクティブラインにおけるシリコン酸化物の除去及びその下に存在するシリコン窒化物の露出を示す、これらエンドポイントシグナルの両方における特徴を、研磨の間、観測することができる。パターン形成されたシリコン酸化物の除去速度はシリコン窒化物の露出の前に除去された材料の量を研磨時間で除すことに基づいて計算された。一方、パターン形成されたシリコン窒化物の除去速度は、除去された材料の量を、シリコン窒化物が研磨組成物に曝されてからの時間で除すことにより計算された。研磨の完了時に、ウェハはフジフイルム和光8901ポストCMPクリーニング化学製品(post-CMP cleaning chemistry)を用いて200mmオントラックポストCMPクリーニングツール(Lam Research companyから入手)により清浄化した。全てのウェハの膜厚測定(例えば、除去速度決定のための膜厚測定)はKLA Tencor社のF5Xエリプソメーターを用いて測定した。
Figure 2022075606000008
表8に示されたデータから、ブランケットウェハにおいて先に観察されたシリコン酸化物材料除去速度とシリコン窒化物材料除去速度との間の高い選択性がシリコン酸化物(上側(top))及びシリコン窒化物(下側(bottom))を含むパターン形成ウェハにおいても観察される。表8に見られるように、サンプル8Aについては、シリコン窒化物に対するシリコン酸化物の選択性は、パターンのサイズ、密度、及びピッチに依存して、86から190まで様々に変動する。サンプル8Bについては、シリコン窒化物に対するシリコン酸化物の選択性は54であり、サンプル8Cについては選択性は4である。表8はパターン形成ウェハの性能の例示的な例を与えているだけである。我々の社内実験では、膜の複雑性に依存して、パターン形成テストウェハにおける選択性比は3(この値はパターン形成ウェハのためには満足いくものと考えられる)から約1000まで様々に変動することが観察されている。さらに、本明細書に記載の窒化物除去速度減少剤を含む研磨組成物の選択性は、従来技術に提示された、多くの、以前からの(legacy)、業界標準の、商業的に入手可能な、セリアベースのSTI研磨組成物の選択性を凌駕する。
実施例9:パターン形成ウェハのディッシング及びエロージョンの実証
この実施例では、実施例8で用いられたものと同様のパターン形成ウェハを、エンドポイントにおけるシリコン酸化物ディッシング/ステップ高さ及びシリコン窒化物エロージョン/損失を定量化するために、Park Systems社の原子間力顕微鏡ツールで測定した。サンプル9A及び9Bで用いられた研磨組成物は表1、3及び5に示す窒化物除去速度減少剤を含んでおり、スタックが図2に示されるパターン形成ウェハを研磨するのに用いられた。シリコン酸化物ディッシング/ステップ高さ及びシリコン窒化物エロージョン/損失の結果を表9に示す。平坦化効率(PE)をパーセントで報告するが、これはシリコン酸化物のステップ高さの変化を、研磨中に除去された酸化物量で除し、そして(パーセントに変換するために)100を乗じた値に等しい。
表9;パターン形成ウェハディッシング及びエロージョン
Figure 2022075606000009
表9から分かるように、シリコン酸化物ディッシング及びシリコン窒化物エロージョンは非常に小さい。典型的には、ディッシング及びエロージョンについては非常に小さい数が好まれる。ディッシング数及びエロージョン数は、CMP研磨後のパターン形成ウェハの最終トポグラフィーの平坦度を代表する。そして、これらの数が、パターン形成ウェハ中に複数の膜種を含むウェハ上の膜のピークと谷とが離れていることの指標となるため、これらの数が(Å数で)小さい値であることが望ましい。数が小さいほど、ピークと谷との間に存在する分離がより小さくなり、ウェハ表面がより平坦であることを示唆するが、この平坦であることは、半導体作製におけるCMPプロセスステップの全体的目標である。理想的には、ディッシング及びエロージョンの値が0であること(完全に平坦なウェハ表面を示唆する)が好ましい。しかし、従来は、実際のデバイス/製品であるパターン形成ウェハ上において、これらの数は一般的に数百Åあるいは数千Åという値である。したがって、表9に示されたデータは、前記研磨組成物が非常に低いディッシング値及び非常に低いエロージョン値、そしてひいてはパターン形成ウェハの非常に良好なトポグラフィーを与えることについての独特の/尋常ではない性能を与えることを示している。表9から見て取れるように、シリコン酸化物ディッシングは低ければ35Åにもなりうるし、高ければ375Åにもなりうる。エロージョン数は低ければ30Åであり、また高ければ74Åであるため、SiNエロージョンはディッシングよりもずっと良好である。ここでも、これらは代表的な例であって、我々の実験においては、我々は高ければ1000Å、低ければ1Åというディッシング数及びエロージョン数も観測したが、これらは依然として本発明の目的には満足いくものであり、半導体製造者に許容可能なものである。
平坦化効率(PE)については、数が大きいほど、より良好な結果である。理想的には100%のPEが望まれるが、これは、当該値はウェハ全体が既に平坦化され平坦であること、つまりピークと谷との間にステップ高さが無いこと、を意味するからである。表9のデータから、PEは14%という低い値から74%まで様々に変動することを見て取れる。したがって、これらの研磨組成物はパターン形成ウェハ上で良好な平坦化効率を与える。
やはり、表9に示されたデータも、本開示で提示された研磨組成物が、技術水準の、商業的に入手可能な、セリアベースのSTI研磨組成物の酸化物ディッシング、シリコン窒化物エロージョン、及び平坦化効率を凌駕することを示している。
実施例10:研磨後のパターン形成ウェハ欠陥度(defectivity)の実証
この実施例では、市販のセリアベースSTI配合物及び実施例8に記載の組成物8A(これは、窒化物除去速度減少剤を含むシリカベースの研磨組成物である)を用いて、実施例8及び9において用いられたものと同様のパターン形成ウェハの欠陥度を、KLA社製AIT XUV欠陥カウンターツールで測定した。組成物8Aを用いて研磨したウェハのウェハマップを図3に示す。市販のセリアベースSTI研磨組成物を用いて研磨したウェハのウェハマップを図4に示す。
図4に実証されるように、セリアベース配合物は、研磨剤の相対的硬さ及びサイズのために、重度の弧状スクラッチ及びウェハ全体に広がった多くの欠陥(総欠陥カウントは10,000超)につながりやすかった。前記欠陥をより詳しく調べたところ、多くの残渣を伴う多くのマクロスクラッチ及びマイクロスクラッチが存在することが示され、それらの多くは全デバイスキラー欠陥(overall device killing defects)であると考えられた。しかし、図3は、高純度のコロイダルシリカを研磨剤として含む研磨組成物8Aは、セリアベースの組成物よりもずっと少ないスクラッチを有することを示している(図4)。実際、前記シリカ研磨組成物は「無欠陥」に近く、正常な表面を示す。総欠陥カウントはサイズが90nm以上の欠陥についておおよそ175である。欠陥は、最終的なデバイス歩留まり、及び販売可能なチップの生産にとって重要である。図4に示すパターン形成ウェハにおいて、パターン形成ウェハ1個あたり1000のダイ(それぞれ正方形)が存在するとする。欠陥を有するダイのそれぞれは、その欠陥がデバイスキラー欠陥であった場合には販売可能なものではないこととなりうる。したがって、セリアベースの研磨組成物は大量の欠陥を示すため、ウェハ当たりの販売可能なチップの歩留まりは低いものとなる。一方、本開示の研磨組成物の場合は、欠陥は顕著により少なく、ウェハ当たりの販売可能なチップの歩留まりは顕著により高い。
したがって、本開示の研磨組成物を用いて得られる低い欠陥度は、半導体企業にとって非常に魅力的である。前記低い欠陥度は、それら企業の収益のトップライン及びボトムラインを増加させるからである。技術的な観点からは、セリア研磨剤は無機の性質であり(例えば、ランタニドセリウム金属系酸化物)、一般的にシリカ研磨剤よりも硬くサイズが大きく、そのためウェハ表面で大量のスクラッチ及び欠陥を与えやすい。逆に、コロイダルシリカ研磨剤は有機の性質であり(コロイド分散形態であるシリコン非金属ベース酸化物)、一般的に軟らかく、それゆえ研磨中にスクラッチ又は欠陥を生み出さない。
当業者はシリコン窒化物と比してのシリコン酸化物の満足いく除去選択性を有するシリカベースのSTI研磨組成物を開発することが出来ていなかった。本開示に記載のとおり、本発明者らは、産業界にシリカベースのSTI研磨組成物を供給できる、シリカとシリコン窒化物除去速度減少剤との相乗的組み合わせを見出した。加えて、本開示に記載の発明はシリカ以外の研磨剤(例えばアルミナ、チタニア、等々)にも適用できる。
本開示を、本開示に記載の例について記載してきたが、添付の特許請求の範囲に定義される開示の精神及び範囲から逸脱することなしに他の改変及び変形も可能であることを理解されたい。
実施例11:防錆剤の改善した欠陥度(defectivity)の実証
この実施例では、窒化シリコン(SiN)ブランケットウェハを、1.5w/w%のコロイダルシリカ研磨剤、pH調整剤としての有機酸、n-オクタデシルホスホン酸、防錆剤(以下の表に記載)、及び液体キャリアとしての水を含むスラリーで研磨した。研磨の完了時に、ウェハはフジフイルム和光8901ポストCMPクリーニング化学製品(post-CMP cleaning chemistry)を用いて200mmオントラックポストCMPクリーニングツール(Lam Research companyから入手)により清浄化した。粒子欠陥カウントをKLA社製AIT XUV欠陥カウンターツールで測定した。
表10;シリコン窒化物ブランケットウェハ上での総欠陥カウント
Figure 2022075606000010
表10に示されるように、防錆剤の添加は総欠陥カウントを50~88%減少させる。この実施例は、短鎖(C6~C8)及び中鎖(C12)アニオン性界面活性剤が研磨後のSiN膜上の総欠陥カウントを効果的に減少できることを実証している。CMP後の欠陥度(defectivity)の減少は、高いデバイス歩留まりを得るためには極めて重要である。この実施例は、歩留まりを向上させる上での防錆剤の有効性を示している。

Claims (19)

  1. 少なくとも1種の研磨剤;
    C4~C40炭化水素基を含む疎水性部分と、
    スルフィナイト(sulfinite)基、サルフェート(sulfate)基、スルホネート(sulfonate)基、カルボキシレート(carboxylate)基、ホスフェート(phosphate)基、及びホスホネート(phosphonate)基からなる群から選択される少なくとも1つの基を含む親水性部分とを含み、
    前記疎水性部分と前記親水性部分とは0個~10個のアルキレンオキシド基によって隔てられている、
    少なくとも1種の窒化物除去速度減少剤;
    前記少なくとも1種の窒化物除去速度減少剤とは異なる少なくとも1種の金属防錆剤、ここで該金属防錆剤は、アミノ酸、ホスホネート界面活性剤、ホスフェート界面活性剤、サルフェート界面活性剤、スルホネート界面活性剤、グルカミド(glucamide)界面活性剤、アゾール、イミド、オキサゾール、チオウレア、又はシッフ塩基を含む;
    酸又は塩基;及び
    水;
    を含み、約2~約6.5の範囲のpHを有する研磨組成物。
  2. 前記少なくとも1種の金属防錆剤はベンゾトリアゾール、ヒスチジン、グリシン、リン酸ヘキシル、リン酸ヘキシルエチル、リン酸2-エチルヘキシル、又はドデシルベンゼンスルホン酸を含む、請求項1に記載の研磨組成物。
  3. 前記少なくとも1種の金属防錆剤は、前記組成物に対して約0.1ppm~約1重量%の量で存在する、請求項1に記載の研磨組成物。
  4. 前記疎水性部分がC12~C32炭化水素基を含む、請求項1に記載の研磨組成物。
  5. 前記疎水性部分がC16~C22炭化水素基を含む、請求項4に記載の研磨組成物。
  6. 前記親水性部分がホスフェート基又はホスホネート基を含む、請求項1に記載の研磨組成物。
  7. 前記少なくとも1種の窒化物除去速度減少剤は、ナフタレンスルホン酸-ホルマリン縮合物、リン酸ラウリル、リン酸ミリスチル、リン酸ステアリル、オクタデシルホスホン酸、リン酸オレイル、リン酸ベヘニル、硫酸オクタデシル、リン酸ラクセリル(lacceryl phosphate)、オレス-3リン酸、及びオレス-10リン酸からなる群から選ばれる、請求項1に記載の研磨組成物。
  8. 前記少なくとも1種の窒化物除去速度減少剤は、前記疎水性部分と前記親水性部分とを隔てるアルキレンオキシド基が0個である、請求項1に記載の研磨組成物。
  9. 前記少なくとも1種の窒化物除去速度減少剤は、前記組成物に対して約0.1ppm~約1000ppmの量で存在する、請求項1に記載の研磨組成物。
  10. シリコン窒化物の除去速度に対するシリコン酸化物の除去速度の比が約3:1の比以上である、請求項1に記載の研磨組成物。
  11. シリコン窒化物の除去速度に対するシリコン酸化物の除去速度の比が約100:1の比以上である、請求項1に記載の研磨組成物。
  12. 前記少なくとも1種の研磨剤が、カチオン性研磨剤、実質的に中性の研磨剤、及びアニオン性研磨剤からなる群から選ばれる、請求項1に記載の研磨組成物。
  13. 前記少なくとも1種の研磨剤が、アルミナ、シリカ、チタニア、セリア、ジルコニア、それらの共形成産物(co-formed products)、被覆された研磨剤、表面修飾研磨剤、及びそれらの混合物からなる群から選ばれる、請求項12に記載の研磨組成物。
  14. 前記少なくとも1種の研磨剤は、前記組成物に対して約0.05重量%~約20重量%の量で存在する、請求項1に記載の研磨組成物。
  15. 前記酸は、ギ酸、酢酸、マロン酸、クエン酸、プロピオン酸、リンゴ酸、アジピン酸、コハク酸、乳酸、シュウ酸、ヒドロキシエチリデンジホスホン酸、2-ホスホノ-1,2,4-ブタントリカルボン酸、アミノトリメチレンホスホン酸、ヘキサメチレンジアミンテトラ(メチレンホスホン酸)、ビス(ヘキサメチレン)トリアミンホスホン酸、アミノ酢酸、過酢酸、酢酸カリウム、フェノキシ酢酸、グリシン、ビシン、ジグリコール酸、グリセリン酸、トリシン、アラニン、ヒスチジン、バリン、フェニルアラニン、プロリン、グルタミン、アスパラギン酸、グルタミン酸、アルギニン、リシン、チロシン、安息香酸、硝酸、硫酸、亜硫酸、リン酸、ホスホン酸、塩酸、過ヨウ素酸、及びそれらの混合物からなる群から選ばれる、請求項1に記載の組成物。
  16. 前記塩基は、水酸化カリウム、水酸化ナトリウム、水酸化セシウム、水酸化アンモニウム、トリエタノールアミン、ジエタノールアミン、モノエタノールアミン、テトラブチルアンモニウムヒドロキシド、テトラメチルアンモニウムヒドロキシド、水酸化リチウム、イミダゾール、トリアゾール、アミノトリアゾール、テトラゾール、ベンゾトリアゾール、トリルトリアゾール(tolytriazole)、ピラゾール、イソチアゾール、及びこれらの混合物からなる群から選択される、請求項1に記載の組成物。
  17. 請求項1に記載の研磨組成物を、基板の表面上に少なくともシリコン窒化物及び少なくともシリコン酸化物を有する基板に付与(apply)すること;及び、
    前記基板の前記表面にパッドを接触させて、前記パッドを前記基板との関係において動かすこと、
    を含む方法。
  18. 前記シリコン窒化物と前記シリコン酸化物のうち少なくとも1つは、炭素、窒素、酸素、及び水素からなる群から選ばれる少なくとも1種のドーパントによりドープされている、請求項17に記載の方法。
  19. 前記基板から半導体デバイスを形成することをさらに含む、請求項18に記載の方法。

JP2021179817A 2020-11-06 2021-11-02 研磨組成物及びそれを用いる方法 Pending JP2022075606A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/091,260 US11680186B2 (en) 2020-11-06 2020-11-06 Polishing compositions and methods of using same
US17/091,260 2020-11-06

Publications (1)

Publication Number Publication Date
JP2022075606A true JP2022075606A (ja) 2022-05-18

Family

ID=78592475

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021179817A Pending JP2022075606A (ja) 2020-11-06 2021-11-02 研磨組成物及びそれを用いる方法

Country Status (5)

Country Link
US (2) US11680186B2 (ja)
EP (1) EP3995550A1 (ja)
JP (1) JP2022075606A (ja)
KR (1) KR20220061878A (ja)
CN (1) CN114437634A (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11680186B2 (en) * 2020-11-06 2023-06-20 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5738800A (en) 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
KR100464748B1 (ko) 1996-09-27 2005-01-05 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 복합재의 연마용 조성물 및 연마 방법
US6455417B1 (en) 2001-07-05 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
GB2393447B (en) * 2002-08-07 2006-04-19 Kao Corp Polishing composition
JP3875156B2 (ja) * 2002-08-07 2007-01-31 花王株式会社 ロールオフ低減剤
US7071105B2 (en) 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
CN100399536C (zh) 2003-04-21 2008-07-02 斯欧普迪克尔股份有限公司 带有电设备的硅基光设备的cmos兼容集成
US20050056810A1 (en) * 2003-09-17 2005-03-17 Jinru Bian Polishing composition for semiconductor wafers
TWI288046B (en) * 2003-11-14 2007-10-11 Showa Denko Kk Polishing composition and polishing method
US20050189322A1 (en) 2004-02-27 2005-09-01 Lane Sarah J. Compositions and methods for chemical mechanical polishing silica and silicon nitride
JP4316406B2 (ja) 2004-03-22 2009-08-19 株式会社フジミインコーポレーテッド 研磨用組成物
US7988878B2 (en) 2004-09-29 2011-08-02 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Selective barrier slurry for chemical mechanical polishing
US20090194504A1 (en) * 2006-05-16 2009-08-06 Showa Denko K.K. Method for producing abrasive composition
KR101256551B1 (ko) 2008-03-06 2013-04-19 주식회사 엘지화학 Cmp 슬러리 및 이를 이용한 연마 방법
US8491808B2 (en) 2010-03-16 2013-07-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of polishing a substrate comprising polysilicon, silicon oxide and silicon nitride
US8273142B2 (en) 2010-09-02 2012-09-25 Cabot Microelectronics Corporation Silicon polishing compositions with high rate and low defectivity
JP6196155B2 (ja) 2010-09-08 2017-09-13 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 水性研磨剤組成物、並びに電気、機械及び光学デバイス用の基板材料を研磨する方法
CN102559058B (zh) 2010-12-21 2015-05-27 安集微电子(上海)有限公司 一种化学机械抛光液
DE102011089221A1 (de) 2011-12-20 2013-06-20 Henkel Ag & Co. Kgaa Färbemittel mit direktziehenden Farbstoffen und Phospat-Tensiden
DE112013005264T5 (de) 2012-11-02 2015-09-24 Fujimi Incorporated Polierzusammensetzung
CN103834305B (zh) 2012-11-22 2017-08-29 安集微电子(上海)有限公司 一种化学机械抛光液
JP6116888B2 (ja) 2012-12-18 2017-04-19 花王株式会社 磁気ディスク基板用研磨液組成物
WO2015005200A1 (ja) * 2013-07-12 2015-01-15 株式会社フジミインコーポレーテッド 研磨用組成物
JP6243671B2 (ja) 2013-09-13 2017-12-06 株式会社フジミインコーポレーテッド 研磨用組成物
US9752057B2 (en) 2014-02-05 2017-09-05 Cabot Microelectronics Corporation CMP method for suppression of titanium nitride and titanium/titanium nitride removal
US10217645B2 (en) * 2014-07-25 2019-02-26 Versum Materials Us, Llc Chemical mechanical polishing (CMP) of cobalt-containing substrate
CN116288366A (zh) 2014-10-21 2023-06-23 Cmc材料股份有限公司 腐蚀抑制剂以及相关的组合物及方法
JP6538368B2 (ja) 2015-02-24 2019-07-03 株式会社フジミインコーポレーテッド 研磨用組成物及び研磨方法
CN107406752B (zh) 2015-03-10 2020-05-08 日立化成株式会社 研磨剂、研磨剂用储存液和研磨方法
KR102463863B1 (ko) 2015-07-20 2022-11-04 삼성전자주식회사 연마용 조성물 및 이를 이용한 반도체 장치의 제조 방법
US10144850B2 (en) * 2015-09-25 2018-12-04 Versum Materials Us, Llc Stop-on silicon containing layer additive
KR20170044522A (ko) * 2015-10-15 2017-04-25 삼성전자주식회사 화학적 기계적 연마용 슬러리 조성물, 그의 제조 방법, 그를 이용한 연마 방법
US10066126B2 (en) 2016-01-06 2018-09-04 Cabot Microelectronics Corporation Tungsten processing slurry with catalyst
JPWO2017163847A1 (ja) 2016-03-25 2019-02-07 株式会社フジミインコーポレーテッド 研磨用組成物ならびに研磨方法および半導体基板の製造方法
US10745589B2 (en) * 2016-06-16 2020-08-18 Versum Materials Us, Llc Chemical mechanical polishing (CMP) of cobalt-containing substrate
US10119048B1 (en) 2017-07-31 2018-11-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Low-abrasive CMP slurry compositions with tunable selectivity
US20190085205A1 (en) * 2017-09-15 2019-03-21 Cabot Microelectronics Corporation NITRIDE INHIBITORS FOR HIGH SELECTIVITY OF TiN-SiN CMP APPLICATIONS
US11643599B2 (en) * 2018-07-20 2023-05-09 Versum Materials Us, Llc Tungsten chemical mechanical polishing for reduced oxide erosion
KR20200025542A (ko) 2018-08-30 2020-03-10 삼성전자주식회사 화학적 기계적 연마용 슬러리 조성물
US10759970B2 (en) 2018-12-19 2020-09-01 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same
US10763119B2 (en) * 2018-12-19 2020-09-01 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same
US11680186B2 (en) * 2020-11-06 2023-06-20 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same

Also Published As

Publication number Publication date
US20220145130A1 (en) 2022-05-12
TW202229479A (zh) 2022-08-01
US20230265313A1 (en) 2023-08-24
EP3995550A1 (en) 2022-05-11
CN114437634A (zh) 2022-05-06
KR20220061878A (ko) 2022-05-13
US11680186B2 (en) 2023-06-20

Similar Documents

Publication Publication Date Title
US11424131B2 (en) Polishing compositions and methods of using same
KR102639156B1 (ko) 연마 조성물 및 이를 사용하는 방법
JP5441896B2 (ja) 銅ダマシン工程用化学機械的研磨スラリー組成物
US20230265313A1 (en) Polishing Compositions and Methods of Using Same
TWI836341B (zh) 研磨組成物及其使用方法
TWI836290B (zh) 拋光組合物及其使用方法
KR20070063627A (ko) 구리 다마신 공정용 화학 기계적 연마 슬러리 조성물

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230508