TW202225369A - 化學機械拋光組合物及其使用方法 - Google Patents

化學機械拋光組合物及其使用方法 Download PDF

Info

Publication number
TW202225369A
TW202225369A TW110147516A TW110147516A TW202225369A TW 202225369 A TW202225369 A TW 202225369A TW 110147516 A TW110147516 A TW 110147516A TW 110147516 A TW110147516 A TW 110147516A TW 202225369 A TW202225369 A TW 202225369A
Authority
TW
Taiwan
Prior art keywords
acid
composition
weight
polishing composition
polishing
Prior art date
Application number
TW110147516A
Other languages
English (en)
Inventor
燕南 梁
胡斌
黃亭凱
張書維
立清 温
Original Assignee
美商富士軟片電子材料美國股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商富士軟片電子材料美國股份有限公司 filed Critical 美商富士軟片電子材料美國股份有限公司
Publication of TW202225369A publication Critical patent/TW202225369A/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Composite Materials (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本發明涉及化學機械拋光組合物及其使用方法。本發明涉及一種拋光組合物,包含:至少一種磨料、至少一種有機酸、至少一種含有至少磷酸鹽/酯的陰離子表面活性劑、至少一種分子量低於500 g/mol的膦酸化合物、至少一種含唑化合物、至少一種具有6至24碳烷基鏈的烷基胺化合物、和水性溶劑,以及任選地,pH調節劑。

Description

化學機械拋光組合物及其使用方法
相關申請的交叉引用
本申請要求於2020年12月21日提交的美國臨時專利申請序列第63/128,412號的根據35 U.S.C. §119的權益,其通過引用併入本文。 發明領域
本公開內容涉及化學機械拋光組合物。特別地,本公開內容涉及使鈷和本領域中使用的其他物質的所需拋光性能特徵平衡的拋光組合物。
發明背景
半導體行業被持續驅動通過工藝、材料和集成創新使設備進一步小型化,以改善晶片性能。早期的材料創新包括引入銅代替鋁作為互連結構中的導電材料,以及使用鉭(Ta)/氮化鉭(TaN)(或鈦(Ti)/氮化鈦(TiN))作為擴散阻擋層以將Cu導電材料與非導電/絕緣體介電材料分開。由於銅(Cu)的低電阻率和優異的抗電遷移性,選擇銅(Cu)作為互連材料。
然而,隨著新一代晶片的特徵縮小,多層銅/阻擋層/介電堆疊體必須更薄且更保形以在後段制程(Back End of Line,BEOL)中保持有效的互連電阻率。更薄的Cu和Ta/TaN阻擋膜方案存在在沉積時電阻率和柔性方面的問題。例如,隨著更小的尺寸和先進的製造節點,電阻率正呈指數級惡化,(前段制程(Front End of Line,FEOL)處的)電晶體電路速度的改善因來自導電Cu/阻擋層佈線(BEOL)的延遲而減半。鈷(Co)已成為用作襯墊材料、阻擋層以及導電層的主要候選材料。此外,還在多種應用例如W金屬觸點、插頭、通孔和柵極材料中研究鈷作為鎢(W)金屬的替代物。
許多目前可用的CMP漿料被具體設計成去除較舊的晶片設計中更常見的材料,例如前述的銅和鎢。這些較舊的CMP漿料中的某些組分可能在鈷中導致有害和不可接受的缺陷,因為鈷更易受化學腐蝕影響。因此,當在鈷層上使用銅拋光漿料時,經常發生不可接受的腐蝕、晶片形貌和去除速率選擇性。
雖然鈷仍與其他金屬(例如Cu和/或W)結合使用,但隨著鈷(Co)在半導體製造中作為金屬組分的使用越來越多,市場需要可以有效地對含Co表面上的介電組分或阻擋組分進行拋光而沒有顯著的金屬腐蝕的CMP漿料。
發明概要
提供該發明內容以介紹以下在詳細描述中進一步描述的所選概念。該發明內容不旨在確定要求保護的主題的關鍵或必要特徵,也不旨在用於幫助限制要求保護的主題的範圍。
如本文所定義,除非另有說明,否則示出的所有百分比應被理解為相對於化學機械拋光組合物的總重量的重量百分比。此外,所有示出的範圍包括公開的範圍及其任何子範圍。例如,“按重量計0.1%至1%”的範圍包括範圍0.1至1以及其任何子範圍,例如0.2至0.9、0.5至1、0.1至0.5等。“6至24碳”的範圍包括6至24碳、8至20碳、6至12碳、10至24碳等。
在一個方面中,本公開內容提供了拋光組合物,其包含:至少一種磨料、至少一種有機酸、至少一種含有至少磷酸鹽/酯的陰離子表面活性劑、至少一種分子量低於500 g/mol的膦酸化合物、至少一種含唑化合物、至少一種具有6至24碳烷基鏈的烷基胺化合物、和水性溶劑,以及任選地,pH調節劑。
在另一個方面中,本公開內容提供了拋光組合物,其包含:量為組合物的按重量計的約0.01%至約25%的至少一種磨料;量為組合物的按重量計的約0.001%至約2.5%的至少兩種有機酸,其中至少一種有機酸為氨基酸;至少一種陰離子表面活性劑,所述至少一種陰離子表面活性劑含有至少磷酸鹽/酯並且含有疏水性的6至24碳烷基鏈和2至16個環氧乙烷基團中的至少一者,其中陰離子表面活性劑的量為組合物的按重量計的約0.001%至約0.5%;量為組合物的按重量計的約0.01%至約1.5%的至少一種分子量低於500 g/mol的膦酸化合物;量為組合物的按重量計的約0.001%至約0.5%的至少一種含唑化合物;量為組合物的按重量計的約0.0005%至約0.5%的至少一種具有6至24碳烷基鏈的烷基胺化合物;和水性溶劑,其中組合物的pH為約7至約12。
在另一個方面中,本文公開的實施方案涉及使用本文描述的拋光組合物對基底進行拋光的方法。
根據以下描述和所附請求項,要求保護的主題的其他方面和優點將是明顯的。
具體實施方式
本文公開的實施方案一般地涉及組合物和使用所述組合物對包括至少鈷部分和鎢部分的基底進行拋光的方法。此外,本文公開的實施方案涉及組合物和使用所述組合物對包括至少鈷部分、鎢部分和電介質(TEOS、SiN、低k等)部分的基底進行拋光的方法。
本公開內容提供這樣的組合物,所述組合物提供了期望和改善的鈷和鎢耐腐蝕性。此外,與目前可獲得的漿料相比,本公開內容的組合物提供了調節鈷、鎢、TEOS和電介質去除速率的能力。可以控制鈷和鎢的去除速率以使它們根據需要高於或低於TEOS和電介質去除速率。組合物包含以下的有利組合:至少一種含有至少磷酸鹽/酯的陰離子表面活性劑、至少一種分子量低於500 g/mol的膦酸化合物、和至少一種具有6至24碳烷基鏈的烷基胺化合物,以及其他組分。如下面更詳細地討論的,這三種組分的組合提供了基於單獨每種成分的性能無法預期的與鈷和鎢的拋光有關的結果。
隨著鈷(Co)作為阻擋層、導電層和/或W替代物的引入,市場需要這樣的CMP漿料:其可以以有效的材料去除速率對Co進行拋光而不經歷顯著的Co腐蝕(即具有適度的Co去除速率)並對其他金屬和金屬氮化物或氧化物(Cu、W、Ti、TiN、Ta、TaN、Ta 2O 5、TiO 2、Ru、ZrO 2、HfO 2等)以及介電膜(SiN、矽氧化物、多晶Si、低k電介質(例如,碳摻雜的矽氧化物)等)的拋光速率具有一定範圍的選擇性。例如,在去除大量材料的侵蝕性主體拋光步驟之後,通常期望進行磨光(buffing)拋光步驟以獲得期望的表面形貌。在一些實施方案中,用於磨光拋光的組合物將以比在主體拋光步驟期間發生的更低的速率或對於各組分大致相同的去除速率(例如,10%以內或5%以內)去除介電材料和金屬(例如,TEOS、SiN和Co)以獲得期望的表面形貌。由於Co比Cu和其他貴金屬更具化學反應性,因此Co腐蝕預防在先進節點(advanced node)拋光組合物設計中非常具有挑戰性。當前的金屬拋光漿料對於對包含Co的表面進行拋光是能力不足的,因為它們在CMP過程期間遭受Co腐蝕問題。此外,通常期望在拋光期間去除一定量的Co以在圖案化的半導體基底中形成光滑的表面以用於隨後的製造過程。
此外,先進節點通常使用具有多種金屬(例如Co和W)的基底,因此,在配製拋光組合物時還必須考慮防止各金屬的過度腐蝕。各金屬在放置在同一化學環境中時都會發生不同程度的腐蝕。例如,通常鈷在低pH條件下比鎢更容易腐蝕,而在高pH條件下則相反。類似的考慮也適用於化學添加劑(即,與不同的金屬相比,一些化學添加劑潛在地更加腐蝕或防止腐蝕一種金屬)。
在一個或更多個實施方案中,本公開內容的拋光組合物包含:至少一種磨料;至少一種有機酸;至少一種含有至少磷酸鹽/酯的陰離子表面活性劑;至少一種分子量低於500 g/mol的膦酸化合物;至少一種含唑化合物;至少一種具有6至24碳烷基鏈的烷基胺化合物;水性溶劑;以及任選地,pH調節劑。
在一個或更多個實施方案中,根據本公開內容的拋光組合物可以包含:按重量計約0.1%至約25%的磨料;按重量計約0.001%至約2.5%的有機酸;按重量計約0.001%至約0.5%的含有至少磷酸鹽/酯的陰離子表面活性劑;按重量計約0.01%至約1.5%的分子量低於500 g/mol的膦酸化合物;按重量計約0.001%至約0.5%的含唑化合物;按重量計約0.0005%至約0.5%的具有6至24碳烷基鏈的烷基胺化合物;和剩餘百分比(例如,按重量計約70%至99%)的水性溶劑。
在一個或更多個實施方案中,本公開內容提供了在使用之前可以用水稀釋多至兩倍、或多至三倍、或多至四倍、或多至六倍、或多至八倍、或多至十倍的濃縮的拋光組合物。在另一些實施方案中,本公開內容提供了用於在含鈷和鎢的基底上使用的使用端(point-of-use,POU)拋光組合物,其包含上述拋光組合物;水;以及任選地,氧化劑。
在一個或更多個實施方案中,根據本公開內容的POU拋光組合物可以包含:按重量計約0.1%至約12%的磨料;按重量計約0.001%至約1%的有機酸;按重量計約0.001%至約0.1%的含有至少磷酸鹽/酯的陰離子表面活性劑;按重量計約0.01%至約0.5%的分子量低於500 g/mol的膦酸化合物;按重量計約0.001%至約0.1%的含唑化合物;按重量計約0.0005%至約0.05%的具有6至24碳烷基鏈的烷基胺化合物;和剩餘百分比(例如,按重量計約70%至99%)的水性溶劑。
在一個或更多個實施方案中,根據本公開內容的濃縮的拋光組合物可以包含:按重量計約1%至約25%的磨料;按重量計約0.01%至約2.5%的有機酸;按重量計約0.01%至約0.5%的含有至少磷酸鹽/酯的陰離子表面活性劑;按重量計約0.1%至約1.5%的分子量低於500 g/mol的膦酸化合物;按重量計約0.01%至約0.5%的含唑化合物;按重量計約0.005%至約0.5%的具有6至24碳烷基鏈的烷基胺化合物;和剩餘百分比(例如,按重量計約70%至99%)的水性溶劑。
在一個或更多個實施方案中,至少一種(例如,兩種或三種)磨料選自陽離子磨料、基本上中性的磨料和陰離子磨料。在一個或更多個實施方案中,至少一種磨料選自氧化鋁、二氧化矽、二氧化鈦、二氧化鈰、氧化鋯、其共形成產物(即氧化鋁、二氧化矽、二氧化鈦、二氧化鈰、或氧化鋯的共形成產物)、經塗覆的磨料、經表面改性的磨料、及其混合物。在一些實施方案中,至少一種磨料不包括二氧化鈰。在一些實施方案中,至少一種磨料為高純度,並且可以具有小於約100 ppm的醇、小於約100 ppm的氨和小於約十億分之100(ppb)的鹼金屬陽離子例如鈉陽離子。基於POU拋光組合物的總重量,磨料可以以約0.1%至約12%(例如,約0.5%至約10%)或其任何子範圍的量存在。晶片
在一個或更多個實施方案中,磨料為基於二氧化矽的磨料,例如選自膠體二氧化矽、熱解法二氧化矽、及其混合物的磨料。在一個或更多個實施方案中,磨料可以用有機基團和/或非矽質無機基團進行表面改性。例如,陽離子磨料可以包含式(I)的端基:
Figure 02_image001
, 其中m為1至3的整數;n為1至10的整數;X為Al、Si、Ti、Ce或Zr;以及Y為陽離子氨基或硫醇基。作為另一個實例,陰離子磨料可以包含式(I)的端基:
Figure 02_image003
, 其中m為1至3的整數;n為1至10的整數;X為Al、Si、Ti、Ce或Zr;以及Y為酸性基團。
在一個或更多個實施方案中,本文描述的磨料的平均顆粒尺寸可以為至少約1 nm(例如,至少約5 nm、至少約10 nm、至少約20 nm、至少約40 nm、至少約50 nm、至少約60 nm、至少約80 nm或至少約100 nm)至至多約1000 nm(例如,至多約800 nm、至多約600 nm、至多約500 nm、至多約400 nm或至多約200 nm)。如本文所用,平均顆粒尺寸(mean particle size,MPS)通過動態光散射技術來確定。
在一些實施方案中,至少一種磨料以本文描述的拋光組合物的按重量計的至少約0.1%(例如,至少約0.5%、至少約1%、至少約2%、至少約4%、至少約5%、至少約10%、至少約12%、至少約15%或至少約20%)至按重量計的至多約25%(例如,至多約20%、至多約18%、至多約15%、至多約12%、至多約10%或至多約5%)的量存在。
在一個或更多個實施方案中,拋光組合物包含至少一種有機酸。在一個或更多個實施方案中,有機酸(或其鹽)可以選自羧酸、氨基酸、磺酸、膦酸、或其混合物。在一些實施方案中,有機酸可以為包含一個或更多個(例如,兩個、三個或四個)羧酸基團的羧酸,例如二羧酸或三羧酸。在一些實施方案中,有機酸可以為包含羧酸基團的氨基酸。在一個或更多個實施方案中,有機酸選自葡糖酸、乳酸、檸檬酸、酒石酸、蘋果酸、乙醇酸、丙二酸、甲酸、草酸、乙酸、丙酸、過乙酸、琥珀酸、乳酸、氨基乙酸、苯氧基乙酸、N-二(羥乙基)甘氨酸、二甘醇酸、甘油酸、N-三(羥甲基)甲基甘氨酸(tricine)、馬來酸、次氮基三乙酸、乙二胺四乙酸、二亞乙基三胺五乙酸、丙氨酸、組氨酸、纈氨酸、苯丙氨酸、脯氨酸、穀氨醯胺、天冬氨酸、谷氨酸、精氨酸、賴氨酸、酪氨酸、甘氨酸、絲氨酸、天冬醯胺、半胱氨酸、亮氨酸、異亮氨酸、甲硫氨酸、蘇氨酸、色氨酸、苯甲酸、及其混合物。在一個或更多個實施方案中,拋光組合物包含至少兩種有機酸並且一種為氨基酸。不希望被理論束縛,出乎意料的是有機酸或氨基酸(例如上述那些)可以用作本文所述的拋光組合物中有效的阻擋層和/或鈷去除速率增強劑以提高半導體基底中阻擋膜和/或鈷膜的去除速率。
在一些實施方案中,至少一種有機酸的量為本文所述的拋光組合物的按重量計的至少約0.001%(例如,至少約0.003%、至少約0.005%、至少約0.01%、至少約0.03%、至少約0.05%、至少約0.1%、至少約0.3%、至少約0.5%、至少約1%、至少約1.3%或至少約1.5%)至按重量計的至多約2.5%(例如,至多約2.2%、至多約2%、至多約1.7%、至多約1.5%、至多約1.2%、至多約1%、至多約0.7%、至多約0.5%、至多約0.2%、至多約0.15%、至多約0.1%、至多約0.07%或至多約0.05%)。在組合物中包含多於一種有機酸的實施方案中,上述範圍可以獨立地適用於每種有機酸,或者適用於拋光組合物中有機酸的組合量。
在一個或更多個實施方案中,陰離子表面活性劑包含一個或更多個磷酸鹽/酯基團以及一個或更多個以下基團:六至二十四碳烷基鏈、零至十八個環氧乙烷基團、或其組合。在一個或更多個實施方案中,烷基鏈可以具有至少八個碳、至少十個碳、至少十二個碳或至少十四個碳。在一個或更多個實施方案中,烷基鏈可以具有至多22個碳、或至多20個碳、或至多18個碳。不希望受理論束縛,出乎意料的是陰離子表面活性劑(例如上述那些)可以充當本文所述的拋光組合物中的鈷腐蝕抑制劑以降低半導體基底中鈷的去除速率或使其最小化。
在一些實施方案中,陰離子表面活性劑的量為本文描述的拋光組合物的按重量計的至少約0.001%(例如,至少約0.002%、至少約0.005%、至少約0.01%、至少約0.02%、至少約0.05%、至少約0.1%或至少約0.2%)至按重量計的至多約0.5%(例如,至多約0.4%、至多約0.2%、至多約0.1%、至多約0.08%、至多約0.05%、至多約0.02%、至多約0.0075%或至多約0.005%)。
在一個或更多個實施方案中,膦酸選自苯基膦酸、丁基膦酸、己基膦酸、辛基膦酸、癸基膦酸、十二烷基膦酸、十四烷基膦酸、十六烷基膦酸、十八烷基膦酸、苄基膦酸、苯基乙基膦酸、苯基丙基膦酸、苯基丁基膦酸、及其混合物。不希望被理論束縛,出乎意料的是上述膦酸可以降低半導體基底中鈷的腐蝕或者使半導體基底中鈷的腐蝕最小化。此外,出乎意料的是當使用根據本公開內容的拋光組合物以對圖案化的晶片進行拋光時,陰離子表面活性劑和膦酸表現出降低TEOS邊緣腐蝕(edge of erosion,EoE)的協同益處。在本公開內容的末尾提供的實施例中更詳細地說明了這種協同作用。在一個或更多個實施方案中,膦酸與陰離子表面活性劑之間的重量百分比(即,重量%膦酸:重量%陰離子表面活性劑)應為約5:1至100:1。例如,該比率可以為至少10:1、至少15:1、至少20:1、至少25:1、至少30:1、至少35:1、至少40:1、至少45:1或至少50:1至至多95:1、至多90:1、至多85:1、至多80:1、至多75:1、至多70:1、至多65:1、至多60:1或至多55:1。
在一些實施方案中,膦酸的量為本文描述的拋光組合物的按重量計的至少約0.01%(例如,至少約0.05%、至少約0.075%、至少約0.1%、至少約0.25%、至少約0.5%、至少約0.75%或至少約1%)至按重量計的至多約1.5%(例如,至多約1.25%、至多約1%、至多約0.75%、至多約0.5%、至多約0.25%、至多約0.1%或至多0.075%)。
在一個或更多個實施方案中,至少一種唑選自四唑、苯并三唑、腺嘌呤、苯并咪唑、噻苯噠唑、甲苯基三唑、1,2,3-三唑、1,2,4-三唑、1-羥基苯并三唑、2-甲基苯并噻唑、2-氨基苯并咪唑、2-氨基-5-乙基-1,3,4-噻二唑、3,5-二氨基-1,2,4-三唑、3-氨基-5-甲基吡唑、4-氨基-4H-1,2,4-三唑、5-甲基苯并三唑、5-氯苯并三唑、5-氟苯并三唑、5-溴苯并三唑、5-碘苯并三唑、5-氨基四唑、5-乙基苯并三唑、5-丁基苯并三唑、二甲基苯并三唑、二氯苯并三唑、氯甲基苯并三唑、苯基苯并三唑、苄基苯并三唑、硝基苯并三唑、咪唑、及其組合。不希望被理論束縛,出乎意料的是含唑腐蝕抑制劑(例如上述那些)可以顯著降低半導體基底中銅(或其他金屬)的去除速率或者使半導體基底中銅(或其他金屬)的去除速率最小化。
在一些實施方案中,至少一種唑的量為本文描述的拋光組合物的按重量計的至少約0.001%(例如,至少約0.002%、至少約0.005%、至少約0.01%、至少約0.02%、至少約0.05%、至少約0.1%或至少約0.2%)至按重量計的至多約0.5%(例如,至多約0.4%、至多約0.2%、至多約0.1%、至多約0.08%、至多約0.05%、至多約0.02%、至多約0.0075%或至多約0.005%)。
在一些實施方案中,至少一種烷基胺化合物具有至少一個(例如,兩個或三個)烷基鏈,所述烷基鏈包含6至24(即,6、7、8、9、10、11、12、13、14、15、16、17、18、19、20、21、22、23或24)個碳。在一個或更多個實施方案中,烷基鏈可以為線性、支化或環狀的烷基。在一個或更多個實施方案中,烷基胺化合物可以為伯、仲、叔或環狀化合物。在一個或更多個實施方案中,烷基胺化合物可以為烷氧基化胺(例如,包含乙氧基化和/或丙氧基化基團)。在一個或更多個實施方案中,烷氧基化胺可以包含2至100個乙氧基化基團和/或丙氧基化基團。在一些實施方案中,至少一種烷基胺化合物具有包含6至18個碳的烷基鏈。在一些實施方案中,烷基胺選自己胺、辛胺、癸胺、十二烷基胺、十四烷基胺、十五烷基胺、十六烷基胺、十八烷基胺、環己胺、二環己胺、二丙胺、或其混合物。不希望被理論束縛,出乎意料的是上述烷基胺化合物可以顯著降低半導體基底中鎢的腐蝕或者使半導體基底中鎢的腐蝕最小化。
在一些實施方案中,至少一種烷基胺化合物的量為本文描述的拋光組合物的按重量計的至少約0.0005%(例如,至少約0.001%、至少約0.002%、至少約0.005%、至少約0.01%、至少約0.02%、至少約0.05%、至少約0.1%或至少約0.2%)至按重量計的至多約0.5%(例如,至多約0.4%、至多約0.2%、至多約0.1%、至多約0.08%、至多約0.05%、至多約0.02%、至多約0.0075%或至多約0.005%)。
在一些實施方案中,拋光組合物可以任選地包含不同於前述烷基胺化合物或氨基酸的胺化合物。例如,拋光組合物可以任選地包含選自以下的胺化合物:單乙醇胺、2-(2-氨基乙氧基)乙醇、3-甲氧基丙胺、三(羥基甲基)氨基甲烷、二乙醇胺、1-(2-羥基乙基)哌嗪、2,2,6,6-四甲基哌啶、1-(鄰甲苯基)雙胍、1,3-二-鄰甲苯基胍、N-甲基乙醇胺、五甲基二亞乙基三胺、氨基丙基甲基乙醇胺、嗎啉、哌嗪、嗎啉基丙胺、環己胺、二環己胺、氨基亞乙基哌嗪、或其混合物。不希望被理論束縛,出乎意料的是上述任選的胺化合物可以顯著降低半導體基底中鎢的腐蝕或者使半導體基底中鎢的腐蝕最小化。
在一些實施方案中,任選的胺化合物以以下的量包含在拋光組合物中:本文描述的拋光組合物的按重量計的至少約0.005%(例如,至少約0.0075%、至少約0.01%、至少約0.025%、至少約0.05%、至少約0.1%或至少約0.25%)至按重量計的至多約0.5%(例如,至多約0.4%、至多約0.2%、至多約0.1%、至多約0.08%、至多約0.05%、至多約0.02%或至多約0.0075%)。
在一個或更多個實施方案中,拋光組合物還可以包含pH調節劑。在一個或更多個實施方案中,pH調節劑選自氫氧化銨、氫氧化鈉、氫氧化鉀、氫氧化銫、單乙醇胺、二乙醇胺、三乙醇胺、甲基乙醇胺、甲基二乙醇胺、四丁基氫氧化銨、四丙基氫氧化銨、四乙基氫氧化銨、四甲基氫氧化銨、乙基三甲基氫氧化銨、二乙基二甲基氫氧化銨、二甲基二丙基氫氧化銨、苄基三甲基氫氧化銨、三(2-羥基乙基)甲基氫氧化銨、氫氧化膽鹼、及其任意組合。
在一些實施方案中,當包含在組合物中時,至少一種pH調節劑的量為本文描述的拋光組合物的按重量計的至少約0.01%(例如,至少約0.05%、至少約0.1%、至少約0.5%、至少約1%、至少約1.5%、至少約2%、至少約2.5%、至少約4%或至少約4.5%)至按重量計的至多約5%(例如,至多約4.5%、至多約4%、至多約3.5%、至多約3%、至多約2.5%、至多約2%、至多約1.5%、至多約1%、至多約0.5%、至多約0.2%或至多約0.1%)。
在一些實施方案中,拋光組合物的pH值可以為至少約7(例如,至少約7.5、至少約8、至少約8.5、至少約9、至少約9.5、至少約10、至少約10.5、至少約11、至少約11.5或至少約12)至至多約14(例如,至多約13.5、至多約13、至多約12.5、至多約12、至多約11.5、至多約11、至少約10.5、至多約10、至多約9.5或至多約9)。不希望被理論束縛,認為pH低於7的拋光組合物顯著增加鈷去除速率和腐蝕,pH高於14的拋光組合物可能影響懸浮的磨料的穩定性並且顯著增加通過這樣的組合物拋光的膜的粗糙度並降低通過這樣的組合物拋光的膜的整體品質。為了獲得期望的pH,可以調節本文描述的拋光組合物中成分的相對濃度。
當將濃縮的拋光組合物稀釋以形成POU拋光組合物時,可以添加任選的氧化劑。氧化劑可以選自過氧化氫、過硫酸銨、硝酸銀(AgNO 3)、硝酸鐵或氯化鐵、過酸或過酸鹽、臭氧水、鐵氰化鉀、重鉻酸鉀、碘酸鉀、溴酸鉀、高碘酸鉀、高碘酸、三氧化二釩、次氯酸、次氯酸鈉、次氯酸鉀、次氯酸鈣、次氯酸鎂、硝酸鐵、高錳酸鉀、其他無機或有機過氧化物、及其混合物。在一個實施方案中,氧化劑為過氧化氫。
在一些實施方案中,氧化劑的量為本文描述的拋光組合物的按重量計的至少約0.01%(例如,至少約0.05%、至少約0.1%、至少約0.2%、至少約0.4%、至少約0.5%、至少約1%、至少約1.5%、至少約2%、至少約2.5%、至少約3%、至少約3.5%、至少約4%或至少約4.5%)至按重量計的至多約5%(例如,至多約4.5%、至多約4%、至多約3.5%、至多約3%、至多約2.5%、至多約2%、至多約1.5%、至多約1%、至多約0.5%或至多約0.1%)。在一些實施方案中,氧化劑可能降低拋光組合物的保存期。在這樣的實施方案中,氧化劑可以在使用端(例如剛好在拋光之前)添加至拋光組合物中。
在一些實施方案中,本文描述的拋光組合物可以包含溶劑(例如第一溶劑)例如水。在一些實施方案中,溶劑(例如水)的量為本文描述的拋光組合物的按重量計的至少約20%(例如,至少約25%、至少約30%、至少約35%、至少約40%、至少約45%、至少約50%、至少約55%、至少約60%、至少約65%、至少約70%、至少約75%、至少約80%、至少約85%、至少約90%、至少約92%、至少約94%、至少約95%或至少約97%)至按重量計的至多約99%(例如,至多約98%、至多約96%、至多約94%、至多約92%、至多約90%、至多約85%、至多約80%、至多約75%、至多約70%或至多約65%)
在一個或更多個實施方案中,可以在本公開內容的拋光組合物(例如POU拋光組合物或濃縮的拋光組合物)中使用任選的第二溶劑(例如有機溶劑),其可以有助於含唑腐蝕抑制劑的溶解。在一個或更多個實施方案中,第二溶劑可以為一種或更多種醇、亞烷基二醇或亞烷基二醇醚。在一個或更多個實施方案中,第二溶劑包括選自以下的一種或更多種溶劑:乙醇、1-丙醇、2-丙醇、正丁醇、丙二醇、2-甲氧基乙醇、2-乙氧基乙醇、丙二醇丙醚和乙二醇。
在一些實施方案中,第二溶劑的量為本文描述的拋光組合物的按重量計的至少約0.005%(例如,至少約0.01%、至少約0.02%、至少約0.05%、至少約0.1%、至少約0.2%、至少約0.4%、至少約0.6%、至少約0.8%、至少約1%、至少約3%、至少約5%或至少約10%)至按重量計的至多約15%(例如,至多約12%、至多約10%、至多約5%、至多約3%、至多約2%、至多約1%、至多約0.8%、至多約0.6%、至多約0.5%或至多約0.1%)。
在一個或更多個實施方案中,本文描述的拋光組合物可以基本上不含一種或更多種某些成分,例如有機溶劑、pH調節劑(例如二羧酸或三羧酸)、季銨化合物(例如鹽或氫氧化物)、胺、鹼金屬鹼(例如鹼金屬氫氧化物)、含氟化合物(例如氟化物化合物或經氟化的化合物(例如聚合物/表面活性劑))、含矽化合物例如矽烷(例如烷氧基矽烷)、亞胺(例如脒如1,8-二氮雜雙環[5.4.0]-7-十一烯(DBU)和1,5-二氮雜雙環[4.3.0]壬-5-烯(DBN))、鹽(例如鹵化物鹽或金屬鹽)、聚合物(例如陽離子聚合物或陰離子聚合物)、表面活性劑(例如陽離子表面活性劑、陰離子表面活性劑或非離子表面活性劑)、增塑劑、氧化劑(例如H 2O 2或高碘酸)、腐蝕抑制劑(例如唑類腐蝕抑制劑或非唑腐蝕抑制劑)、電解質(例如聚電解質)和/或某些磨料(例如二氧化鈰磨料、非離子磨料、表面改性的磨料或帶負電荷/帶正電荷的磨料)。可以從拋光組合物中排除的鹵化物鹽包括鹼金屬鹵化物(例如鹵化鈉或鹵化鉀)或鹵化銨(例如氯化銨),並且可以為氟化物、氯化物、溴化物或碘化物。如本文所用,拋光組合物“基本上不含”的成分是指不是有意添加到拋光組合物中的成分。在一些實施方案中,本文描述的拋光組合物可以具有至多約1000 ppm(例如,至多約500 ppm、至多約250 ppm、至多約100 ppm、至多約50 ppm、至多約10 ppm或至多約1 ppm)的拋光組合物基本上不含的上述成分中的一種或更多種。在一些實施方案中,本文描述的拋光組合物可以完全不含上述成分中的一種或更多種。
本公開內容還考慮使用上述濃縮物或POU漿料中的任一者的方法。對於濃縮物,所述方法可以包括以下步驟:稀釋濃縮物以形成POU拋光組合物,然後使至少部分地包含鈷的基底表面與POU拋光組合物接觸,以及使墊(例如拋光墊)與基底的表面接觸並使墊相對於基底移動。對於POU拋光組合物,所述方法包括以下步驟:使至少部分地包含鈷的基底表面與拋光組合物接觸,以及使墊(例如拋光墊)與基底的表面接觸並使墊相對於基底移動。在一個或更多個實施方案中,與拋光組合物接觸的表面還可以包含鎢。
在一個或更多個實施方案中,本公開內容特徵在於拋光方法,所述拋光方法可以包括將根據本公開內容的拋光組合物施加至基底(例如晶片),所述基底在基底的表面上至少具有鈷;以及使墊與基底的表面接觸並使墊相對於基底移動。在一些實施方案中,當基底包含矽氧化物(例如TEOS)、矽氮化物(例如SiN)和/或阻隔材料(例如Ta、TaN、Ti或TiN)中的至少一種或更多種時,上述方法可以以與其去除鈷大約相同或者更快的速率去除這些材料的至少一部分。例如,在一個或更多個實施方案中,本公開內容的拋光組合物的TEOS/SiN與Co之間的拋光速率差小於約20%、小於約15%、小於約10%,或小於約5%。在一個或更多個實施方案中,拋光組合物對矽氧化物(例如TEOS)、矽氮化物(例如SiN)和/或阻隔材料(例如Ta、TaN、Ti或TiN)相對於鈷的拋光選擇性(即,拋光速率之比)可以不超過約1:1、不超過約2:1、不超過約3:1、或不超過約4:1。應注意,本文描述的術語“矽氧化物”明確旨在包括未經摻雜的矽氧化物形式和經摻雜的矽氧化物形式兩者。例如,在一個或更多個實施方案中,矽氧化物可以摻雜有選自碳、氮(對於矽氧化物)、氧、氫或任何其他已知的矽氧化物摻雜劑的至少一種摻雜劑。矽氧化物膜類型的一些實例包括TEOS(原矽酸四乙酯)、SiOC、SiOCN、SiOCH、SiOH和SiON。在一個或更多個實施方案中,當對圖案化晶片或無圖案晶片(blanket wafer)進行拋光時,由根據本公開內容的拋光組合物提供的對於鈷的去除速率可以為約50埃/分鐘至500埃/分鐘。在一個或更多個實施方案中,當對圖案化晶片或無圖案晶片進行拋光時,由根據本公開內容的拋光組合物提供的對於鎢的去除速率可以為約0埃/分鐘至100埃/分鐘。在一個或更多個實施方案中,當將鈷試樣在拋光組合物中在60℃下孵育5分鐘時,拋光組合物對鈷的靜態蝕刻速率(static etch rate,SER)為約0 Å/分鐘至10 Å/分鐘。在一個或更多個實施方案中,當將鎢試樣在拋光組合物中在60℃下孵育5分鐘時,拋光組合物對鎢的靜態蝕刻速率(SER)為約3 Å/分鐘至50 Å/分鐘。
在一些實施方案中,使用本文描述的拋光組合物的方法還可以包括通過一個或更多個步驟由通過拋光組合物處理的基底生產半導體器件。例如,光刻、離子注入、乾法/濕法蝕刻、等離子體蝕刻、沉積(例如PVD、CVD、ALD、ECD)、晶片貼片、晶粒切割、封裝和測試可以用於由通過本文描述的拋光組合物處理的基底生產半導體器件。
以下具體實施例應被解釋為僅是說明性的,並且不以任何方式限制本公開內容的其餘部分。無需進一步闡述,認為本領域技術人員可以基於本文中的描述最大程度地利用本公開內容。
實施例
拋光在以下條件下進行:AMAT Mirra CMP拋光機、Fujibo H804墊、1.5 psi的下壓力、120/114 rpm的壓板/頭速度和175 mL/分鐘的拋光組合物流量。
以下實施例中使用的一般組合物示於下表1中。在討論各實施例時,將更詳細地說明關於測試的組合物的差異的具體細節。 表1
組分 組合物的重量 %
PH調節劑(鹼) 0.01至1
有機酸 0.01至1
氨基酸 0.001至0.5
膦酸化合物 0.05至1(如果使用)
含唑化合物 0.01至0.5
陰離子表面活性劑 0.001至0.1
烷基胺化合物 0.001至0.1(如果使用)
有機溶劑 0.1至1
磨料(二氧化矽) 0.1至12
氧化劑 0.01至2(如果使用)
溶劑(DI水) 75至99
pH 7至12
實施例1
下表2示出了對符合上表1的包含具有6至24碳烷基鏈的烷基胺的組合物與不包含烷基胺的組合物進行比較的靜態蝕刻速率(SER)測試的結果。除了水的量之外,組合物的所有其他組分都完全相同。
在測試中,將鈷或鎢金屬的試樣在所述拋光組合物中在60℃下浸泡五分鐘。然後用去離子水沖洗試樣並在氮氣下乾燥。通過使用四點探針計量工具測量試樣的測試前和測試後厚度以確定靜態蝕刻速率。
結果表明,添加烷基胺顯著降低了鎢的SER,而不顯著影響鈷SER。因此,烷基胺是鎢的有效的腐蝕或去除速率抑制劑。 表2
實施例 pH 氧化劑 (重量 % 烷基胺 Co SER Å/ 分鐘) W SER Å/ 分鐘)
比較例 1 7.5 0.4 1.5 52.9
實施例 1 7.5 0.4 0.5 8.7
比較例 2 9 1 1.3 155.9
實施例 2 9 1 0 51.6
實施例2
下表3示出了對僅包含陰離子表面活性劑作為鈷腐蝕抑制劑的組合物與包含陰離子表面活性劑和分子量低於500 g/mol的膦酸化合物作為第二鈷腐蝕抑制劑的組合物進行比較的靜態蝕刻速率(SER)測試的結果。兩種組合物均包含實施例1中使用的烷基胺化合物。組合物的所有其他組分都完全相同。
結果表明,添加第二鈷腐蝕抑制劑(膦酸)不會顯著影響鈷SER,這表明兩種組合物應大致相同地保護鈷和鎢免受腐蝕。實際上,表3示出了實施例3和比較例3中的每一者的鈷去除速率大致相同。然而,添加第二鈷腐蝕抑制劑顯著降低了實施例3的組合物的Co接觸角,這表明與比較例3的組合物相比,實施例3的組合物更加能夠潤濕鈷的表面。在添加第二鈷腐蝕抑制劑之後,鎢SER和鎢接觸角測量值保持大致相同,這表明第二鈷腐蝕抑制劑不與鎢表面顯著地相互作用。比較例4表明,少量添加第一鈷腐蝕抑制劑與不含第二鈷腐蝕抑制劑相結合由於缺乏足夠的鈷保護而導致不可接受的高的鈷去除速率。比較例5示出了極高的鈷去除速率,這表明第二鈷腐蝕抑制劑本身並不能充分保護鈷。 表3
實施例 陰離子表 面活性劑 Co SER ppb W SER ppb Co 接觸角 W 接觸角 Co RR Å/ 分鐘)
比較例 3 7.5X 12 361 44.3° 79.7° 43
實施例 3 2.25X 45X 9 351 22.1° 78.4° 41
比較例 4 2.25X 15 372 29.8° 78.5° 262
比較例 5 45X 26 394 17.9° 78.4° 832
RR=去除速率
實施例3
下表4示出了在對包括與晶片的TEOS部分鄰接的鈷部分的圖案化晶片進行拋光之後的邊緣腐蝕(EoE)測量值。EoE測量值表示與晶片的鈷部分鄰接的TEOS部分的腐蝕程度,並且通過原子力顯微鏡(AFM)來測量。本實施例中的圖案化晶片使用實施例2中詳述的前兩種拋光組合物而不使用後兩種拋光組合物進行拋光,因為後兩種拋光組合物具有太高的鈷去除速率(參見表3),這將可理解地導致不可接受的高的鈷腐蝕。
結果表明,當與比較例3相比時,實施例3(包含第二鈷腐蝕抑制劑的組合物)出乎意料地表現出顯著降低的EoE。不被理論束縛,本發明人認為,由於當與陰離子表面活性劑相比時,基於膦酸的鈷腐蝕抑制劑具有顯著更小的分子尺寸,因此實施例3的鈷腐蝕抑制劑的獨特協同作用允許改善的EoE。由於這兩種分子對鈷表面具有親和力,因此它們決定了晶片表面的與TEOS部分相鄰的鈷部分的表面化學。表3中示出的接觸角變化證明了這種協同作用。如在比較例3中,當僅使用較大的陰離子表面活性劑時,在拋光期間,由於大的表面活性劑覆蓋,磨料可能在鈷和TEOS交匯的邊緣處積聚,並降低了鈷部分而不是TEOS部分的潤濕。由於磨料在邊緣處的停留時間增加,這種積聚引起TEOS的邊緣腐蝕。然而,當組合物中包含較小的基於膦酸的鈷腐蝕抑制劑時,除了TEOS表面之外,拋光組合物還可以更有效地潤濕鈷表面,使得磨料不會在鈷和TEOS的界面處顯著積聚。因此,實施例3表現出的腐蝕顯著小於僅包含陰離子表面活性劑作為鈷腐蝕抑制劑的組合物。 表4
圖案密度 比較例 3 實施例 3
9×1 µm 53 Å 57 Å
5×1 µm 113 Å 83 Å
1.5×0.5 µm 133 Å 87 Å
1×1 µm 100 Å 70 Å
雖然以上僅詳細描述了幾個示例性實施方案,但是本領域技術人員將容易理解,在實質上不脫離本公開內容的情況下,示例性實施方案中可以進行許多修改。因此,所有這樣的修改旨在包括在如以上申請專利範圍所限定的本公開內容的範圍內。

Claims (21)

  1. 一種拋光組合物,包含: 至少一種磨料; 至少一種有機酸; 至少一種含有至少磷酸鹽/酯的陰離子表面活性劑; 至少一種分子量低於500 g/mol的膦酸化合物; 至少一種含唑化合物; 至少一種具有6至24碳烷基鏈的烷基胺化合物;和 水性溶劑; 任選地,pH調節劑。
  2. 如請求項1所述的拋光組合物,其中所述至少一種磨料選自氧化鋁;二氧化矽;二氧化鈦;二氧化鈰;氧化鋯;氧化鋁、二氧化矽、二氧化鈦、二氧化鈰、或氧化鋯的共形成產物;經塗覆的磨料;經表面改性的磨料;及其混合物。
  3. 如請求項1所述的拋光組合物,其中所述至少一種磨料的量為所述組合物的按重量計的約0.01%至約25%。
  4. 如請求項1所述的拋光組合物,其中所述至少一種有機酸選自葡糖酸、乳酸、檸檬酸、酒石酸、蘋果酸、乙醇酸、丙二酸、甲酸、草酸、乙酸、丙酸、過乙酸、琥珀酸、乳酸、氨基乙酸、苯氧基乙酸、N-二(羥乙基)甘氨酸、二甘醇酸、甘油酸、N-三(羥甲基)甲基甘氨酸、馬來酸、次氮基三乙酸、乙二胺四乙酸、二亞乙基三胺五乙酸、丙氨酸、組氨酸、纈氨酸、苯丙氨酸、脯氨酸、穀氨醯胺、天冬氨酸、谷氨酸、精氨酸、賴氨酸、酪氨酸、甘氨酸、絲氨酸、天冬醯胺、半胱氨酸、亮氨酸、異亮氨酸、甲硫氨酸、蘇氨酸、色氨酸、苯甲酸、及其混合物。
  5. 如請求項1所述的拋光組合物,其中存在至少兩種有機酸並且一種為氨基酸。
  6. 如請求項1所述的拋光組合物,其中所述至少一種有機酸的量為所述組合物的按重量計的約0.001%至約2.5%。
  7. 如請求項1所述的拋光組合物,其中所述至少一種陰離子表面活性劑選自烷基磷酸鹽/酯、聚氧乙烯烷基醚磷酸鹽/酯、聚氧乙烯芳基烷基醚磷酸鹽/酯、聚氧乙烯壬基芳基醚磷酸鹽/酯、聚氧乙烯壬基苯基醚磷酸鹽/酯、及其混合物。
  8. 如請求項1所述的拋光組合物,其中所述至少一種陰離子表面活性劑還包含疏水性的6至24碳烷基鏈和2至16個環氧乙烷基團中的至少一者。
  9. 如請求項1所述的拋光組合物,其中所述陰離子表面活性劑的量為所述組合物的按重量計的約0.001%至約0.5%。
  10. 如請求項1所述的拋光組合物,其中所述至少一種膦酸選自苯基膦酸、丁基膦酸、己基膦酸、辛基膦酸、癸基膦酸、十二烷基膦酸、十四烷基膦酸、十六烷基膦酸、十八烷基膦酸、苄基膦酸、苯基乙基膦酸、苯基丙基膦酸、苯基丁基膦酸、及其混合物。
  11. 如請求項1所述的拋光組合物,其中所述至少一種膦酸的量為所述組合物的按重量計的約0.01%至約1.5%。
  12. 如請求項1所述的拋光組合物,其中所述至少一種唑選自苯并三唑、腺嘌呤、苯并咪唑、噻苯噠唑、甲苯基三唑、1,2,3-三唑、1,2,4-三唑、1-羥基苯并三唑、2-甲基苯并噻唑、2-氨基苯并咪唑、2-氨基-5-乙基-1,3,4-噻二唑、3,5-二氨基-1,2,4-三唑、3-氨基-5-甲基吡唑、4-氨基-4H-1,2,4-三唑、5-甲基苯并三唑、5-氯苯并三唑、5-氟苯并三唑、5-溴苯并三唑、5-碘苯并三唑、5-氨基四唑、5-乙基苯并三唑、5-丁基苯并三唑、二甲基苯并三唑、二氯苯并三唑、氯甲基苯并三唑、苯基苯并三唑、苄基苯并三唑、硝基苯并三唑、咪唑、及其組合。
  13. 如請求項1所述的拋光組合物,其中所述至少一種唑的量為所述組合物的按重量計的約0.001%至約0.5%。
  14. 如請求項1所述的拋光組合物,其中所述至少一種烷基胺化合物具有6至20碳烷基鏈。
  15. 如請求項1所述的拋光組合物,其中所述至少一種烷基胺化合物的量為所述組合物的按重量計的約0.0005%至約0.5%。
  16. 如請求項1所述的拋光組合物,其中所述組合物的pH為約7至約12。
  17. 如請求項1所述的拋光組合物,還包含: 有機溶劑,所述有機溶劑的量為所述組合物的按重量計的約0.01%至約5%。
  18. 如請求項17所述的拋光組合物,其中所述有機溶劑選自乙醇、1-丙醇、2-丙醇、正丁醇、丙二醇、2-甲氧基乙醇、2-乙氧基乙醇、丙二醇丙醚、乙二醇、及其任意組合。
  19. 一種拋光組合物,包含: 至少一種磨料,所述至少一種磨料的量為所述組合物的按重量計的約0.01%至約25%; 至少兩種有機酸,所述至少兩種有機酸的量為所述組合物的按重量計的約0.001%至約2.5%,其中至少一種所述有機酸為氨基酸; 至少一種陰離子表面活性劑,所述至少一種陰離子表面活性劑含有至少磷酸鹽/酯並且含有疏水性的6至24碳烷基鏈和2至16個環氧乙烷基團中的至少一者,其中所述陰離子表面活性劑的量為所述組合物的按重量計的約0.001%至約0.5%; 至少一種分子量低於500 g/mol的膦酸化合物,所述至少一種分子量低於500 g/mol的膦酸化合物的量為所述組合物的按重量計的約0.01%至約1.5%; 至少一種含唑化合物,所述至少一種含唑化合物的量為所述組合物的按重量計的約0.001%至約0.5%; 至少一種具有6至24碳烷基鏈的烷基胺化合物,所述至少一種具有6至24碳烷基鏈的烷基胺化合物的量為所述組合物的按重量計的約0.0005%至約0.5%;和 水性溶劑; 其中所述組合物的pH為約7至約12。
  20. 一種對包含鈷的基底進行拋光的方法,包括: 將如請求項1至18中任一項所述的拋光組合物施加至基底,所述基底在所述基底的表面上包含鈷;和 使墊與所述基底的所述表面接觸並使所述墊相對於所述基底移動。
  21. 如請求項20所述的方法,還包括由所述基底形成半導體器件。
TW110147516A 2020-12-21 2021-12-17 化學機械拋光組合物及其使用方法 TW202225369A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063128412P 2020-12-21 2020-12-21
US63/128,412 2020-12-21

Publications (1)

Publication Number Publication Date
TW202225369A true TW202225369A (zh) 2022-07-01

Family

ID=81993648

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110147516A TW202225369A (zh) 2020-12-21 2021-12-17 化學機械拋光組合物及其使用方法

Country Status (7)

Country Link
US (1) US20220195241A1 (zh)
EP (1) EP4263734A1 (zh)
JP (1) JP2024502232A (zh)
KR (1) KR20230125258A (zh)
CN (1) CN114644890A (zh)
TW (1) TW202225369A (zh)
WO (1) WO2022140075A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100595892C (zh) * 2005-04-14 2010-03-24 昭和电工株式会社 研磨组合物
JP6139975B2 (ja) * 2013-05-15 2017-05-31 株式会社フジミインコーポレーテッド 研磨用組成物
US9735030B2 (en) * 2014-09-05 2017-08-15 Fujifilm Planar Solutions, LLC Polishing compositions and methods for polishing cobalt films
US20200263056A1 (en) * 2019-02-19 2020-08-20 AGC Inc. Polishing composition and polishing method
TW202138505A (zh) * 2020-03-31 2021-10-16 美商富士軟片電子材料美國股份有限公司 研磨組成物及其使用方法

Also Published As

Publication number Publication date
KR20230125258A (ko) 2023-08-29
CN114644890A (zh) 2022-06-21
WO2022140075A1 (en) 2022-06-30
EP4263734A1 (en) 2023-10-25
US20220195241A1 (en) 2022-06-23
JP2024502232A (ja) 2024-01-18

Similar Documents

Publication Publication Date Title
US11732157B2 (en) Polishing compositions and methods of use thereof
TWI820394B (zh) 研磨組成物及其使用之方法
CN114945648A (zh) 抛光组合物及其使用方法
JP2022550331A (ja) 研磨組成物及びその使用方法
US20230135325A1 (en) Polishing compositions and methods of use thereof
TW202340403A (zh) 拋光組成物及使用其之方法
US20220306899A1 (en) Polishing compositions and methods of using the same
TW202225369A (zh) 化學機械拋光組合物及其使用方法
CN114437634A (zh) 抛光组合物及其使用方法
TW202233776A (zh) 化學機械拋光組合物及其使用方法
US20240174892A1 (en) Polishing compositions and methods of use thereof
TW202428806A (zh) 拋光組成物及其使用方法
TW202219235A (zh) 研磨組成物及其使用方法